US9312863B2 - Wave clocking - Google Patents

Wave clocking Download PDF

Info

Publication number
US9312863B2
US9312863B2 US14/322,758 US201414322758A US9312863B2 US 9312863 B2 US9312863 B2 US 9312863B2 US 201414322758 A US201414322758 A US 201414322758A US 9312863 B2 US9312863 B2 US 9312863B2
Authority
US
United States
Prior art keywords
frequency
clock
clock signal
signal
supply voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/322,758
Other versions
US20140312947A1 (en
Inventor
Tim Sippel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
Broadcom Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Broadcom Corp filed Critical Broadcom Corp
Priority to US14/322,758 priority Critical patent/US9312863B2/en
Assigned to BROADCOM CORPORATION reassignment BROADCOM CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SIPPEL, TIM
Publication of US20140312947A1 publication Critical patent/US20140312947A1/en
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: BROADCOM CORPORATION
Priority to US15/095,439 priority patent/US10033391B2/en
Application granted granted Critical
Publication of US9312863B2 publication Critical patent/US9312863B2/en
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROADCOM CORPORATION
Assigned to BROADCOM CORPORATION reassignment BROADCOM CORPORATION TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED MERGER (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE PREVIOUSLY RECORDED ON REEL 047229 FRAME 0408. ASSIGNOR(S) HEREBY CONFIRMS THE THE EFFECTIVE DATE IS 09/05/2018. Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED CORRECTIVE ASSIGNMENT TO CORRECT THE PATENT NUMBER 9,385,856 TO 9,385,756 PREVIOUSLY RECORDED AT REEL: 47349 FRAME: 001. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER. Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/0802Details of the phase-locked loop the loop being adapted for reducing power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/30Authentication, i.e. establishing the identity or authorisation of security principals
    • G06F21/44Program or device authentication
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/01Modifications for accelerating switching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/03Astable circuits
    • H03K3/0315Ring oscillators
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • H03K3/0375Bistable circuits provided with means for increasing reliability; for protection; for ensuring a predetermined initial state when the supply voltage has been applied; for storing the actual state when the supply voltage fails
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/133Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals using a chain of active delay devices
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/085Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal
    • H03L7/097Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a comparator for comparing the voltages obtained from two frequency to voltage converters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • H03L7/0995Details of the phase-locked loop concerning mainly the controlled oscillator of the loop the oscillator comprising a ring oscillator
    • H03L7/0997Controlling the number of delay elements connected in series in the ring oscillator
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • G06F1/3228Monitoring task completion, e.g. by use of idle timers, stop commands or wait commands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • H03K2005/00026Variable delay controlled by an analog electrical signal, e.g. obtained after conversion by a D/A converter
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • H03K2005/00058Variable delay controlled by a digital setting

Definitions

  • the present invention relates generally to data clocking in an integrated circuit (IC).
  • the current consumed by an integrated circuit can vary dramatically, based on activity level.
  • the supply voltage at the IC can vary, depending on this current consumption.
  • Different static loads can also affect the DC level of the supply voltage. Dynamic changes to the load can cause dynamic voltage transients.
  • the maximum clock frequency of the IC is limited by the worst-case voltage it may receive. Although most of the time the voltage provided to the IC can support a higher clock frequency, the clock frequency is still constrained to accommodate the worst-case voltage transients.
  • FIG. 1 is an example that illustrates a conventional way of setting the clock frequency of an integrated circuit (IC) based on the supply voltage.
  • FIG. 2 illustrates a wave clocking system for regulating the clock frequency of an IC based on the supply voltage according to an embodiment of the present invention.
  • FIG. 3 illustrates an example implementation of a wave clocking system according to an embodiment of the present invention.
  • FIG. 4 illustrates an example configuration of the example wave clocking system implementation of FIG. 3 according to an embodiment of the present invention.
  • FIG. 5 illustrates an example integrated circuit (IC) using a wave clocking system according to an embodiment of the present invention.
  • FIG. 6 illustrates an example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
  • FIG. 7 illustrates another example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
  • FIG. 8 illustrates another example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
  • FIG. 9 illustrates another example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
  • the current consumed by an integrated circuit can vary dramatically, based on activity level.
  • the supply voltage at the IC can vary, depending on this current consumption.
  • Different static loads can also affect the DC level of the supply voltage. Dynamic changes to the load can cause dynamic voltage transients.
  • the maximum clock frequency of the IC is limited by the worst-case voltage it may receive. Although most of the time the voltage provided to the IC can support a higher clock frequency, the clock frequency is still constrained to accommodate the worst-case voltage transients.
  • FIG. 1 is an example 100 that illustrates a conventional way of setting the clock frequency of an integrated circuit (IC) based on the supply voltage.
  • Example 100 illustrates the supply voltage provided to the IC versus time. As shown in FIG. 1 , the supply voltage exhibits voltage transients of short durations over time. Very infrequently, severe voltage transients (worst-case transients) are experienced, such as voltage transient 102 , for example. Nevertheless, conventionally, the frequency of the clock provided to the IC is set based on these worst-case voltage transients.
  • worst-case voltage transient 102 limits the IC clock frequency to a low level 104 , slightly above 700 MHz, when a higher level of at least 800 MHz can be accommodated most of the time. Accordingly, the performance of the IC is limited significantly.
  • Embodiments of the present invention provide systems and methods for dynamically regulating the clock frequency of an IC based on the IC supply voltage. By doing so, the clock frequency is no longer constrained by a worst-case voltage level, and a higher effective clock frequency can be supported, increasing the IC performance. Embodiments will be referred to hereinafter as “wave clocking” systems and methods.
  • FIG. 2 illustrates a wave clocking system 200 for regulating the clock frequency of an IC based on the IC supply voltage according to an embodiment of the present invention.
  • wave clocking system 200 includes a plurality of delay chains 202 - 1 to 202 - n , and a clock generator circuit 204 . Respective outputs 206 - 1 to 206 - n of delay chains 202 are input to clock generator circuit 204 . Based on outputs 206 , clock generator circuit 204 produces a clock 208 . Clock 208 is fed back as input to each of delay chains 202 - 1 to 202 - n.
  • Wave clocking system 200 is exposed to the same supply voltage and temperature as that provided to the IC. As such, wave clocking system 200 experiences substantially similar supply voltage and temperature variations as the IC. As further described below, wave clocking system 200 is able to track supply voltage/temperature variations, in real time, by tracking changes in the speed of the IC logic due to these variations, and to produce an instantaneous clock frequency for the IC that results in substantially optimal IC performance given the variations. As such, the IC clock frequency is adapted in real time (e.g., within about one clock cycle) for optimal IC performance based on the supply voltage and temperature.
  • wave clocking system 200 uses a plurality of delay chains 202 , described above.
  • Delay chains 202 which may each include a ring oscillator or other delay line element, are configured so that each matches substantially the delay of a respective logic path of the IC. As the delay of the logic path varies with supply voltage changes, the delay chain 202 matched to the logic path experiences substantially similar changes.
  • each of delay chains 202 is configured to have a slightly higher delay than its respective logic path that it is matched to. This margin, above the delay of the logic path, allows for on-chip variations to be accounted for (in practice, logic speed may vary within a single chip, and the margin ensures that the clock frequency accommodates the slowest part of the chip).
  • the logic paths being matched by delay chains 202 are representative of logic paths of the C.
  • the logic paths represent logic paths that experience the maximum delay (i.e., critical paths) under certain operating (i.e., voltage, temperature, etc.) conditions.
  • factors may affect the delay response of a logic path, as well as the sensitivity of the delay of the logic path to varying operating conditions (e.g., supply voltage, temperature, etc.).
  • factors that typically affect the sensitivity of the delay of a logic path to a changing supply voltage include characteristics of logic gates in the path (e.g., high threshold voltage (HVT) or low threshold voltage (LVT) transistors, long channel versus short channel transistors, transistor stack height, etc.), structure of the path (e.g., whether the path is logic gate dominated or wire dominated), and temperature.
  • HVT high threshold voltage
  • LVT low threshold voltage
  • LVT transistors are faster than HVT transistors.
  • HVT transistors are more sensitive to voltage than LVT transistors, and thus will speed up/slow down more than LVT transistors as voltage is increased/decreased.
  • a LVT dominated logic path may be slower than a HVT dominated logic path, but faster than the HVT dominated logic path at lower voltage.
  • delay chains 202 are configured to substantially match the delays of respective logic paths that are anticipated (e.g., by a priori testing) to have the maximum delays over particular operating conditions.
  • delay chains 202 match at least a LVT path (i.e., logic path made entirely of LVT transistors) and a HVT path (i.e., logic path made entirely of HVT transistors), with the LVT and HVT path anticipated to provide, under all operating conditions, the outer bounds of the range of logic path delays on the IC.
  • Delay chains 202 each produces an output 206 having a period that is matched substantially (in an embodiment, slightly longer than) to the delay of the IC logic path that the delay chain is matched to.
  • Clock generator circuit 204 receives outputs 206 of delay chains 202 and produces clock 208 as the output 206 with the maximum period. By selecting the slowest one of outputs 206 , clock generator circuit 204 ensures that clock 208 accommodates the slowest of logic paths in the IC.
  • FIG. 3 illustrates an example implementation 300 of a wave clocking system according to an embodiment of the present invention.
  • Example implementation 300 includes two delay chains 302 a - b , a clock generator circuit 304 , and an optional flip-flop 314 .
  • Respective outputs 310 a - b of delay chains 302 are input to clock generator circuit 304 .
  • clock generator circuit 304 Based on outputs 310 , clock generator circuit 304 produces a clock 312 .
  • clock 312 is provided to flip-flop 314 , which produces a second clock signal 316 that is divided by 2 relative to clock 312 (i.e., clock signal 312 is generated to be twice what is needed, and is then divided by 2 for a cleaner duty cycle).
  • delay chains 302 a - b each includes a plurality of delay cells in series.
  • some or all of the delay cells are programmable, which allows the delay of each delay chain to be configured independently, as needed, to match different logic paths of the IC.
  • the delays of delay chains 302 a - b can be configured or re-configured using a speed_adjust signal 306 .
  • the delays may be set only one time or at every boot up of the system.
  • Speed_adjust signal 306 also allows adjusting the margin of each delay chain 302 .
  • logic speed may vary within a single chip, and voltage and circuit speed inaccuracies may exist. The margin ensures that the clock frequency accommodates the slowest part of the chip. In an embodiment, speed variations across the chip can be measured, and the margin of each delay chain 302 can be set accordingly.
  • delay chains 302 a - b match respectively a LVT path (i.e., logic path made entirely of LVT transistors) and a HVT path logic path made entirely of HVT transistors), with the LVT and HVT path anticipated to provide, under all operating conditions, the outer bounds of the range of logic path delays on the IC.
  • LVT path i.e., logic path made entirely of LVT transistors
  • HVT path logic path made entirely of HVT transistors
  • Delay chains 302 a - b produce respective outputs 310 a - b .
  • Outputs 310 a - b have periods matched substantially (in an embodiment, slightly longer than) to the delays of the respective IC logic paths that delay chains 302 a - b are matched to.
  • Outputs 310 a - b are provided to clock generator circuit 304 .
  • Clock generator circuit 304 receives outputs 310 a - b of delay chains 302 a - b via a two-input NAND gate 318 and a two-input NOR gate 320 .
  • the outputs of NAND gate 318 and NOR gate 320 are fed, simultaneously, to a modified S/R latch 322 and an AND/OR output stage 324 .
  • AND/OR output stage 324 toggles to a logic low whenever outputs 310 a - b are both high, and toggles to a logic high whenever outputs 310 a - b are both low.
  • clock generator circuit 304 produces clock 312 whose rising edges correspond to the latest of the rising edges of outputs 310 a - b , and whose falling edges correspond to the latest of the falling edges of outputs 310 a - b . Accordingly, clock generator circuit 304 produces clock 312 that takes (at every clock cycle) the value of the slowest of outputs 310 a - b of delay chains 302 a - b (the slowest being the output 310 with the latest rising or falling edge). By selecting the slowest one of outputs 310 , clock generator circuit 304 ensures that clock 312 accommodates the slowest of logic paths in the IC.
  • example implementation 300 can be extended to more than two delay chains. For example, four delay chains, each matched to a respective logic path, can be used.
  • Clock generator circuit 304 can be readily modified to support such implementation, by using a four-input NAND for NAND gate 318 and a four-input NOR for NOR gate 320 to receive the outputs of the delay chains.
  • the wave clocking system implementation includes an enable signal 308 .
  • Enable signal 308 can be used to put the wave clocking system in sleep mode when the IC is operating in low power mode or sleep mode, for example.
  • Another use of enable signal 308 is to have the operation of the wave clocking system mimic that of the IC, so that the wave clocking system ages at substantially the same rate as the IC. Accordingly, enable signal 308 can be used to turn on/off the wave clocking system whenever the IC is on/off. As such, the wave clocking system will track not only operating conditions of the IC but also the aging of the IC.
  • FIG. 4 illustrates an example configuration 400 of the example wave clocking system implementation of FIG. 3 according to an embodiment of the present invention.
  • delay chains 302 a - b of the wave clocking system are configured, respectively, to match an ultra LVT logic path and an ultra HVT logic path.
  • the LVT path is further made of 31 nm channel length, lower stack height transistors and is wire dominated
  • the HVT path is further made of 35 nm channel length, larger stack height transistors and is gate dominated.
  • the normalized delay versus voltage of the HVT logic path is represented by curve 402 .
  • the normalized delay versus voltage of the LVT logic path is represented by curve 404 .
  • the LVT and HVT paths provide, under all operating voltage conditions, the outer bounds of the range of logic path delays on the IC. In other words, the delays of all other logic paths of the IC fall somewhere in between lines 402 and 404 .
  • the HVT logic path is the slowest logic path of the IC. Above 0.9 volts, the LVT path becomes the slowest path of the IC.
  • the clock produced by the wave clocking system is represented by curve 406 .
  • curve 406 is higher (by a slight margin) than any of the curves 402 and 404 , representing the HVT and LVT paths, respectively.
  • the period of the clock produced by the wave clocking system is larger, at any time, than the delay of any logic paths of the IC.
  • the wave clocking system may be driving a block whose gates are all of the same VT type.
  • the wave clocking system may use delay chains of that single VT type. Multiple delay chains may still be employed to model other factors that cause different delay sensitivities to voltage, such as gate type or wire dominated vs. gate load dominated.
  • FIG. 5 illustrates an example integrated circuit (IC) 500 using a wave clocking system according to an embodiment of the present invention.
  • IC 500 includes a wave clocking system 200 , a plurality of clock performance monitor (CPM) modules 502 , and a control module 506 .
  • Wave clocking system 200 as described above, is exposed to the same operating conditions as IC 500 , and produces a clock signal 208 .
  • logic speed can vary across IC 500 due to local process variations.
  • one corner of IC 500 may have faster running logic than another corner of IC 500 .
  • wave clocking system 200 may be located in a faster or slower corner of IC 500 , for example.
  • each of delay chains 202 of wave clocking system 200 is configured to have a slightly higher delay than its respective logic path that it is matched to. This margin, above the delay of the logic path, allows for on-chip variations to be accounted for by ensuring that the clock frequency produced by wave clocking system 200 accommodates the slowest part of the chip.
  • the margin can be further reduced (thus allowing for the IC clock frequency to be increased) by using CPM modules 502 .
  • CPM modules 502 are distributed across IC 500 such that each of CPM modules 502 monitors a respective part of IC 500 .
  • Each of CPM modules 502 compares the period of clock signal 208 against the delay of a respective critical logic path in its respective part of IC 500 , and returns a “good” logic value to control module 506 , via a respective output signal 504 , when the period of clock signal 208 is longer than the delay of the respective critical logic path.
  • control module 506 controls wave clocking signal 200 , via a control signal 508 , to increase the period of clock signal 208 .
  • control module 506 adjusts the period of clock signal 208 by re-configuring the delay of at least one of delay chains 302 a - b using speed_adjust signal 306 , described above in FIG. 3 .
  • CPM modules 502 are used to calibrate at boot-time the period of clock signal 208 produced by wave clocking system 200 . Specifically, the period of clock signal 208 is set at an initial value and outputs 504 of CPM module 502 are examined. If all of outputs 504 indicate “good” logic values, then the period of clock signal 208 is decreased by a pre-determined step value and outputs 504 are re-examined. The process is repeated until at least one of outputs 504 does not return a “good” logic value, at which time the period of clock signal 208 is increased by a pre-determined step value and set at this level. CPM modules 502 , in the same manner, can also be used periodically at run-time to calibrate clock signal 208 .
  • FIG. 6 illustrates an example clock generation system 600 that integrates a wave clocking system according to an embodiment of the present invention.
  • Example clock generation system 600 includes a wave clocking system 200 , a phase locked loop (PLL) 602 , and a clock control unit (CCU) 612 .
  • PLL 602 and/or CCU 612 may be eliminated.
  • CCU 612 can operated using a reference clock 620 , a PLL clock 618 , or a wave clock 208 as a primary clock source.
  • PLL clock 618 is produced by PLL 602 based on reference clock 620 and is a fixed frequency clock.
  • PLL 602 requires a clean supply voltage 606 , which is free of voltage transients, to generate fixed frequency clock 618 .
  • Wave clock 208 is produced by wave clocking system 200 .
  • wave clock 208 is a variable frequency clock that tracks IC supply voltage variations.
  • wave clocking system 200 is supplied a core supply voltage 604 , identical to the one provided to the IC. Core supply voltage 604 may experience voltage transients as described above.
  • CCU 612 includes a multiplexer 608 .
  • multiplexer 608 is located outside of CCU 612 .
  • Multiplexer 608 receives PLL clock 618 and clock 208 and provides either of the two clocks to CCU 612 as a clock signal 610 .
  • Multiplexer 608 is controlled by a select signal 614 , which determines whether CCU 612 is to be provided a fixed or a variable frequency clock.
  • CCU 612 uses clock signal 610 or reference clock 620 , as a primary clock source, to produce one or more clock signals, including a clock signal 616 .
  • the produced clock signals are provided to different functional blocks or ICs of the system.
  • clock signal 616 may be provided to the central processing unit (CPU) of the system.
  • clock signal 616 is input into a clock tree, which distributes the clock to different parts of the CPU block.
  • FIG. 7 illustrates another example clock generation system 700 that integrates a wave clocking system according to an embodiment of the present invention.
  • example system 700 includes a wave clocking system 200 and a phase locked loop (PLL) 602 .
  • PLL 602 may be eliminated.
  • example system 700 includes a speed adjust control circuit 702 , a frequency monitor circuit 704 , and a multiplexer 712 .
  • Speed adjust control circuit 702 and frequency monitor circuit 704 allow for the control of wave clock 208 produced by wave clocking system 200 , so as to maintain it near a target frequency.
  • wave clocking system 200 produces wave clock 208 so as to correspond to the maximum supported clock frequency based on the supply voltage. In certain situations, however, there may be a need to limit wave clock 208 to a target frequency below a maximum logic supported clock frequency.
  • frequency monitor 704 receives wave clock 208 and reference clock 602 , which represents the target frequency. Frequency monitor 704 generates a frequency error signal 708 based on a difference between wave clock 208 and reference clock 602 . Frequency monitor 704 provides frequency error signal 708 to speed adjust control circuit 702 .
  • speed adjust control circuit 702 Based on frequency error signal 708 , speed adjust control circuit 702 outputs a control signal 710 to wave clocking system 200 to increase/decrease the speed of the delay chains of wave clocking system 200 , so as to bring frequency error signal 708 closer to zero.
  • control signal 710 corresponds to speed_adjust signal 306 described above in FIG. 3 .
  • speed adjust control circuit 702 is also provided a calibrated maximum value 706 , to ensure that wave clock 208 does not exceed a maximum logic supported frequency.
  • calibrated maximum value 706 ensures that control signal 710 does not configure wave clocking system 200 so as to produce wave clock 208 greater than the maximum logic supported frequency.
  • Wave clock 208 , PLL clock 618 , reference clock 620 , and optionally other clock sources 718 are provided to multiplexer 712 .
  • a select signal 714 controls multiplexer 712 to select one of the input clocks as a core clock 716 .
  • Core clock 716 may then be provided to a CCU, such as CCU 612 , for example.
  • FIG. 8 illustrates another example clock generation system 800 that integrates a wave clocking system according to an embodiment of the present invention.
  • example system 800 includes a wave clocking system 200 and a phase locked loop (PLL) 602 .
  • PLL 602 may be eliminated.
  • example system 800 includes a voltage adjust control circuit 802 , a frequency monitor circuit 704 , and a multiplexer 712 .
  • example system 800 includes means to maintain wave clock 208 near a target frequency, below a maximum logic supported frequency.
  • wave clocking system 200 is first fixed at its maximum calibration setting by providing it calibrated maximum value 706 .
  • calibrated maximum value 706 corresponds to speed_adjust signal 306 described above in FIG. 3 and configures the delay chains of wave clocking system 200 so as to produce the maximum possible clock frequency.
  • the produced wave clock 208 is provided to frequency monitor 704 along with reference clock 602 , which represents the target frequency.
  • Frequency monitor 704 generates a frequency error signal 708 based on a difference between wave clock 208 and reference clock 602 .
  • Frequency monitor 704 provides frequency error signal 708 to voltage adjust control circuit 802 .
  • voltage adjust control circuit 802 Based on frequency error signal 708 , voltage adjust control circuit 802 outputs a control signal 804 to the power manager or power management unit (PMU) to increase/decrease the supply voltage, as necessary, to cause a corresponding increase/decrease in wave clock 208 , substantially equal to the frequency error represented by frequency error signal 708 . Accordingly, wave clock 208 is brought and maintained near the target frequency by adjusting the supply voltage, instead of adjusting wave clocking system 200 directly.
  • PMU power management unit
  • FIG. 9 illustrates another example clock generation system 900 that integrates a wave clocking system according to an embodiment of the present invention.
  • example system 900 includes a wave clocking system 200 and a phase locked loop (PLL) 602 .
  • PLL 602 may be eliminated.
  • example system 900 includes a voltage/speed adjust control circuit 902 , a frequency monitor circuit 704 , and a multiplexer 712 .
  • example system 900 combines both means for controlling wave clock 208 of example systems 700 and 800 . Specifically, based on frequency error signal 708 , voltage/speed adjust control circuit 902 outputs a control signal 710 as described above in FIG. 7 and a control signal 804 as described above in FIG. 8 .
  • Control signal 710 controls wave clock 208 by controlling wave clocking system 200 directly. This provides a relatively fast feedback loop to keep wave clock 208 near the target frequency.
  • Control signal 804 controls wave clock 208 indirectly by controlling the supply voltage. Adjustments to the voltage from the power manager/PMU happen more slowly. However, the adjustments allow the supply voltage to be optimized for any target frequency, i.e., allows for adaptive voltage scaling (AVS) adjustments to be made automatically.
  • AVS adaptive voltage scaling
  • embodiments may also be used to reduce production test cost and increase the timing fault coverage of integrated circuits.
  • ICs are tested using a logic built-in self-test (LBIST) in which hardware or software is built into the ICs to allow them to test their own operation.
  • LBIST scans a test pattern into the logic using a scan clock frequency. Once scanned in, it applies a functional clock frequency to capture the logic result.
  • Logic failures and timing failures can be detected by observing the data that gets scanned out during the next scan phase.
  • the capture clock may capture the result at a high or a low voltage.
  • the frequency of the capture clock needs to be limited to correspond to the minimum voltage. This means that many capture clocks are capturing results with a frequency that is lower than the frequency that is needed to test the timing of the logic. Thus, timing fault coverage is lowered.
  • the capture clock can be provided using a wave clocking system.
  • the clock frequency during the capture clock tracks the frequency which corresponds to the desired speed of the logic. Accordingly, higher timing fault coverage is achieved.
  • the scan clock can be operated at the much higher wave clock frequency, since the logic is now tolerant of the voltage transients. As a result of the higher scan frequency, the LBIST test time will be reduced, which reduces production test cost.

Abstract

Embodiments provide systems and methods for dynamically regulating the clock frequency of an integrated circuit (IC) based on the IC supply voltage. By doing so, the clock frequency is no longer constrained by a worst-case voltage level, and a higher effective clock frequency can be supported, increasing the IC performance. Embodiments include a wave clocking system which uses a plurality of delay chains configured to match substantially the delays of respective logic paths of the IC. As the delays of the logic paths vary with supply voltage and temperature changes, the delay chains matched to the logic paths experience substantially similar changes and are used to regulate the clock frequency of the IC.

Description

CROSS-REFERENCE TO RELATED APPLICATION(S)
This application is a continuation of U.S. application Ser. No. 13/331,796, filed Dec. 20, 2011, which claims the benefit of U.S. Provisional Patent Application No. 61/524,538, filed Aug. 17, 2011, both of which are incorporated herein by reference in their entireties.
BACKGROUND
1. Field of the Invention
The present invention relates generally to data clocking in an integrated circuit (IC).
2. Background Art
The current consumed by an integrated circuit (IC) can vary dramatically, based on activity level. The supply voltage at the IC, in turn, can vary, depending on this current consumption. Different static loads can also affect the DC level of the supply voltage. Dynamic changes to the load can cause dynamic voltage transients.
Typically, the maximum clock frequency of the IC is limited by the worst-case voltage it may receive. Although most of the time the voltage provided to the IC can support a higher clock frequency, the clock frequency is still constrained to accommodate the worst-case voltage transients.
Accordingly, there is a need for adaptive clock schemes that accommodate voltage transients.
BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES
The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate the present invention and, together with the description, further serve to explain the principles of the invention and to enable a person skilled in the pertinent art to make and use the invention.
FIG. 1 is an example that illustrates a conventional way of setting the clock frequency of an integrated circuit (IC) based on the supply voltage.
FIG. 2 illustrates a wave clocking system for regulating the clock frequency of an IC based on the supply voltage according to an embodiment of the present invention.
FIG. 3 illustrates an example implementation of a wave clocking system according to an embodiment of the present invention.
FIG. 4 illustrates an example configuration of the example wave clocking system implementation of FIG. 3 according to an embodiment of the present invention.
FIG. 5 illustrates an example integrated circuit (IC) using a wave clocking system according to an embodiment of the present invention.
FIG. 6 illustrates an example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
FIG. 7 illustrates another example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
FIG. 8 illustrates another example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
FIG. 9 illustrates another example clock generation system that integrates a wave clocking system according to an embodiment of the present invention.
The present invention will be described with reference to the accompanying drawings. Generally, the drawing in which an element first appears is typically indicated by the leftmost digit(s) in the corresponding reference number.
DETAILED DESCRIPTION OF EMBODIMENTS
The current consumed by an integrated circuit (IC) can vary dramatically, based on activity level. The supply voltage at the IC, in turn, can vary, depending on this current consumption. Different static loads can also affect the DC level of the supply voltage. Dynamic changes to the load can cause dynamic voltage transients.
Typically, the maximum clock frequency of the IC is limited by the worst-case voltage it may receive. Although most of the time the voltage provided to the IC can support a higher clock frequency, the clock frequency is still constrained to accommodate the worst-case voltage transients.
FIG. 1 is an example 100 that illustrates a conventional way of setting the clock frequency of an integrated circuit (IC) based on the supply voltage. Example 100 illustrates the supply voltage provided to the IC versus time. As shown in FIG. 1, the supply voltage exhibits voltage transients of short durations over time. Very infrequently, severe voltage transients (worst-case transients) are experienced, such as voltage transient 102, for example. Nevertheless, conventionally, the frequency of the clock provided to the IC is set based on these worst-case voltage transients. In example 100, worst-case voltage transient 102 limits the IC clock frequency to a low level 104, slightly above 700 MHz, when a higher level of at least 800 MHz can be accommodated most of the time. Accordingly, the performance of the IC is limited significantly.
Embodiments of the present invention, as further described below, provide systems and methods for dynamically regulating the clock frequency of an IC based on the IC supply voltage. By doing so, the clock frequency is no longer constrained by a worst-case voltage level, and a higher effective clock frequency can be supported, increasing the IC performance. Embodiments will be referred to hereinafter as “wave clocking” systems and methods.
FIG. 2 illustrates a wave clocking system 200 for regulating the clock frequency of an IC based on the IC supply voltage according to an embodiment of the present invention. As shown in FIG. 2, wave clocking system 200 includes a plurality of delay chains 202-1 to 202-n, and a clock generator circuit 204. Respective outputs 206-1 to 206-n of delay chains 202 are input to clock generator circuit 204. Based on outputs 206, clock generator circuit 204 produces a clock 208. Clock 208 is fed back as input to each of delay chains 202-1 to 202-n.
Wave clocking system 200 is exposed to the same supply voltage and temperature as that provided to the IC. As such, wave clocking system 200 experiences substantially similar supply voltage and temperature variations as the IC. As further described below, wave clocking system 200 is able to track supply voltage/temperature variations, in real time, by tracking changes in the speed of the IC logic due to these variations, and to produce an instantaneous clock frequency for the IC that results in substantially optimal IC performance given the variations. As such, the IC clock frequency is adapted in real time (e.g., within about one clock cycle) for optimal IC performance based on the supply voltage and temperature.
To track the changes in the speed of the IC logic due to supply voltage variations, wave clocking system 200 uses a plurality of delay chains 202, described above. Delay chains 202, which may each include a ring oscillator or other delay line element, are configured so that each matches substantially the delay of a respective logic path of the IC. As the delay of the logic path varies with supply voltage changes, the delay chain 202 matched to the logic path experiences substantially similar changes. In an embodiment, each of delay chains 202 is configured to have a slightly higher delay than its respective logic path that it is matched to. This margin, above the delay of the logic path, allows for on-chip variations to be accounted for (in practice, logic speed may vary within a single chip, and the margin ensures that the clock frequency accommodates the slowest part of the chip).
In an embodiment, the logic paths being matched by delay chains 202 are representative of logic paths of the C. In another embodiment, the logic paths represent logic paths that experience the maximum delay (i.e., critical paths) under certain operating (i.e., voltage, temperature, etc.) conditions.
Typically, various factors may affect the delay response of a logic path, as well as the sensitivity of the delay of the logic path to varying operating conditions (e.g., supply voltage, temperature, etc.). For example, factors that typically affect the sensitivity of the delay of a logic path to a changing supply voltage include characteristics of logic gates in the path (e.g., high threshold voltage (HVT) or low threshold voltage (LVT) transistors, long channel versus short channel transistors, transistor stack height, etc.), structure of the path (e.g., whether the path is logic gate dominated or wire dominated), and temperature. As operating conditions (e.g., supply voltage, temperature, etc.) change, the logic paths that are slowest or fastest on the IC also change (also, the rates at which logic paths speed up or slow down are affected by the operating conditions). For example, typically, LVT transistors are faster than HVT transistors. However, HVT transistors are more sensitive to voltage than LVT transistors, and thus will speed up/slow down more than LVT transistors as voltage is increased/decreased. Thus, at high voltage, a LVT dominated logic path may be slower than a HVT dominated logic path, but faster than the HVT dominated logic path at lower voltage.
Accordingly, in an embodiment, delay chains 202 are configured to substantially match the delays of respective logic paths that are anticipated (e.g., by a priori testing) to have the maximum delays over particular operating conditions. In an embodiment, as further described below, delay chains 202 match at least a LVT path (i.e., logic path made entirely of LVT transistors) and a HVT path (i.e., logic path made entirely of HVT transistors), with the LVT and HVT path anticipated to provide, under all operating conditions, the outer bounds of the range of logic path delays on the IC.
Delay chains 202 each produces an output 206 having a period that is matched substantially (in an embodiment, slightly longer than) to the delay of the IC logic path that the delay chain is matched to. Clock generator circuit 204 receives outputs 206 of delay chains 202 and produces clock 208 as the output 206 with the maximum period. By selecting the slowest one of outputs 206, clock generator circuit 204 ensures that clock 208 accommodates the slowest of logic paths in the IC.
FIG. 3 illustrates an example implementation 300 of a wave clocking system according to an embodiment of the present invention. Example implementation 300 includes two delay chains 302 a-b, a clock generator circuit 304, and an optional flip-flop 314. Respective outputs 310 a-b of delay chains 302 are input to clock generator circuit 304. Based on outputs 310, clock generator circuit 304 produces a clock 312. Optionally, clock 312 is provided to flip-flop 314, which produces a second clock signal 316 that is divided by 2 relative to clock 312 (i.e., clock signal 312 is generated to be twice what is needed, and is then divided by 2 for a cleaner duty cycle).
As shown in FIG. 3, delay chains 302 a-b each includes a plurality of delay cells in series. In an embodiment, some or all of the delay cells are programmable, which allows the delay of each delay chain to be configured independently, as needed, to match different logic paths of the IC. In an embodiment, the delays of delay chains 302 a-b can be configured or re-configured using a speed_adjust signal 306. For example, the delays may be set only one time or at every boot up of the system. Speed_adjust signal 306 also allows adjusting the margin of each delay chain 302. In practice, logic speed may vary within a single chip, and voltage and circuit speed inaccuracies may exist. The margin ensures that the clock frequency accommodates the slowest part of the chip. In an embodiment, speed variations across the chip can be measured, and the margin of each delay chain 302 can be set accordingly.
In an embodiment, delay chains 302 a-b match respectively a LVT path (i.e., logic path made entirely of LVT transistors) and a HVT path logic path made entirely of HVT transistors), with the LVT and HVT path anticipated to provide, under all operating conditions, the outer bounds of the range of logic path delays on the IC.
Delay chains 302 a-b produce respective outputs 310 a-b. Outputs 310 a-b have periods matched substantially (in an embodiment, slightly longer than) to the delays of the respective IC logic paths that delay chains 302 a-b are matched to. Outputs 310 a-b are provided to clock generator circuit 304.
Clock generator circuit 304 receives outputs 310 a-b of delay chains 302 a-b via a two-input NAND gate 318 and a two-input NOR gate 320. The outputs of NAND gate 318 and NOR gate 320 are fed, simultaneously, to a modified S/R latch 322 and an AND/OR output stage 324. AND/OR output stage 324 toggles to a logic low whenever outputs 310 a-b are both high, and toggles to a logic high whenever outputs 310 a-b are both low. As such, clock generator circuit 304 produces clock 312 whose rising edges correspond to the latest of the rising edges of outputs 310 a-b, and whose falling edges correspond to the latest of the falling edges of outputs 310 a-b. Accordingly, clock generator circuit 304 produces clock 312 that takes (at every clock cycle) the value of the slowest of outputs 310 a-b of delay chains 302 a-b (the slowest being the output 310 with the latest rising or falling edge). By selecting the slowest one of outputs 310, clock generator circuit 304 ensures that clock 312 accommodates the slowest of logic paths in the IC.
As would be understood by a person of skill in the art based on the teachings herein, example implementation 300 can be extended to more than two delay chains. For example, four delay chains, each matched to a respective logic path, can be used. Clock generator circuit 304 can be readily modified to support such implementation, by using a four-input NAND for NAND gate 318 and a four-input NOR for NOR gate 320 to receive the outputs of the delay chains.
In an embodiment, as shown in FIG. 3, the wave clocking system implementation includes an enable signal 308. Enable signal 308 can be used to put the wave clocking system in sleep mode when the IC is operating in low power mode or sleep mode, for example. Another use of enable signal 308 is to have the operation of the wave clocking system mimic that of the IC, so that the wave clocking system ages at substantially the same rate as the IC. Accordingly, enable signal 308 can be used to turn on/off the wave clocking system whenever the IC is on/off. As such, the wave clocking system will track not only operating conditions of the IC but also the aging of the IC.
FIG. 4 illustrates an example configuration 400 of the example wave clocking system implementation of FIG. 3 according to an embodiment of the present invention. In example configuration 400, delay chains 302 a-b of the wave clocking system are configured, respectively, to match an ultra LVT logic path and an ultra HVT logic path. In an embodiment, the LVT path is further made of 31 nm channel length, lower stack height transistors and is wire dominated, and the HVT path is further made of 35 nm channel length, larger stack height transistors and is gate dominated. The normalized delay versus voltage of the HVT logic path is represented by curve 402. The normalized delay versus voltage of the LVT logic path is represented by curve 404.
As shown in FIG. 4, in this particular example, the LVT and HVT paths provide, under all operating voltage conditions, the outer bounds of the range of logic path delays on the IC. In other words, the delays of all other logic paths of the IC fall somewhere in between lines 402 and 404. Specifically, at voltages below approximately 0.9 volts, the HVT logic path is the slowest logic path of the IC. Above 0.9 volts, the LVT path becomes the slowest path of the IC.
The clock produced by the wave clocking system is represented by curve 406. As shown, at any time, curve 406 is higher (by a slight margin) than any of the curves 402 and 404, representing the HVT and LVT paths, respectively. As such, the period of the clock produced by the wave clocking system is larger, at any time, than the delay of any logic paths of the IC.
In other embodiments, the wave clocking system may be driving a block whose gates are all of the same VT type. As such, the wave clocking system may use delay chains of that single VT type. Multiple delay chains may still be employed to model other factors that cause different delay sensitivities to voltage, such as gate type or wire dominated vs. gate load dominated.
FIG. 5 illustrates an example integrated circuit (IC) 500 using a wave clocking system according to an embodiment of the present invention. IC 500 includes a wave clocking system 200, a plurality of clock performance monitor (CPM) modules 502, and a control module 506. Wave clocking system 200, as described above, is exposed to the same operating conditions as IC 500, and produces a clock signal 208.
In practice, logic speed can vary across IC 500 due to local process variations. For example, one corner of IC 500 may have faster running logic than another corner of IC 500. In addition, wave clocking system 200 may be located in a faster or slower corner of IC 500, for example. In an embodiment, as described above, each of delay chains 202 of wave clocking system 200 is configured to have a slightly higher delay than its respective logic path that it is matched to. This margin, above the delay of the logic path, allows for on-chip variations to be accounted for by ensuring that the clock frequency produced by wave clocking system 200 accommodates the slowest part of the chip.
In example IC 500, the margin can be further reduced (thus allowing for the IC clock frequency to be increased) by using CPM modules 502. CPM modules 502 are distributed across IC 500 such that each of CPM modules 502 monitors a respective part of IC 500. Each of CPM modules 502 compares the period of clock signal 208 against the delay of a respective critical logic path in its respective part of IC 500, and returns a “good” logic value to control module 506, via a respective output signal 504, when the period of clock signal 208 is longer than the delay of the respective critical logic path. If at least one of CPM modules 502 does not return a “good” logic value to control module 506 (i.e., clock signal 208 is too fast for at least one part of IC 500), then control module 506 controls wave clocking signal 200, via a control signal 508, to increase the period of clock signal 208. In an embodiment, control module 506 adjusts the period of clock signal 208 by re-configuring the delay of at least one of delay chains 302 a-b using speed_adjust signal 306, described above in FIG. 3.
In an embodiment, CPM modules 502 are used to calibrate at boot-time the period of clock signal 208 produced by wave clocking system 200. Specifically, the period of clock signal 208 is set at an initial value and outputs 504 of CPM module 502 are examined. If all of outputs 504 indicate “good” logic values, then the period of clock signal 208 is decreased by a pre-determined step value and outputs 504 are re-examined. The process is repeated until at least one of outputs 504 does not return a “good” logic value, at which time the period of clock signal 208 is increased by a pre-determined step value and set at this level. CPM modules 502, in the same manner, can also be used periodically at run-time to calibrate clock signal 208.
FIG. 6 illustrates an example clock generation system 600 that integrates a wave clocking system according to an embodiment of the present invention. Example clock generation system 600 includes a wave clocking system 200, a phase locked loop (PLL) 602, and a clock control unit (CCU) 612. In other embodiments, PLL 602 and/or CCU 612 may be eliminated.
As shown in FIG. 6, CCU 612 can operated using a reference clock 620, a PLL clock 618, or a wave clock 208 as a primary clock source. PLL clock 618 is produced by PLL 602 based on reference clock 620 and is a fixed frequency clock. PLL 602 requires a clean supply voltage 606, which is free of voltage transients, to generate fixed frequency clock 618. Wave clock 208 is produced by wave clocking system 200. As described above, wave clock 208 is a variable frequency clock that tracks IC supply voltage variations. As such, wave clocking system 200 is supplied a core supply voltage 604, identical to the one provided to the IC. Core supply voltage 604 may experience voltage transients as described above.
To select between PLL clock 618 or wave clocking system clock 208, CCU 612 includes a multiplexer 608. In other embodiments, multiplexer 608 is located outside of CCU 612. Multiplexer 608 receives PLL clock 618 and clock 208 and provides either of the two clocks to CCU 612 as a clock signal 610. Multiplexer 608 is controlled by a select signal 614, which determines whether CCU 612 is to be provided a fixed or a variable frequency clock.
CCU 612 uses clock signal 610 or reference clock 620, as a primary clock source, to produce one or more clock signals, including a clock signal 616. The produced clock signals are provided to different functional blocks or ICs of the system. For example, clock signal 616 may be provided to the central processing unit (CPU) of the system. In an embodiment, clock signal 616 is input into a clock tree, which distributes the clock to different parts of the CPU block.
FIG. 7 illustrates another example clock generation system 700 that integrates a wave clocking system according to an embodiment of the present invention. Like example system 600, example system 700 includes a wave clocking system 200 and a phase locked loop (PLL) 602. In other embodiments, PLL 602 may be eliminated. Further, example system 700 includes a speed adjust control circuit 702, a frequency monitor circuit 704, and a multiplexer 712.
Speed adjust control circuit 702 and frequency monitor circuit 704 allow for the control of wave clock 208 produced by wave clocking system 200, so as to maintain it near a target frequency. Typically, without additional control, wave clocking system 200 produces wave clock 208 so as to correspond to the maximum supported clock frequency based on the supply voltage. In certain situations, however, there may be a need to limit wave clock 208 to a target frequency below a maximum logic supported clock frequency.
In an embodiment, as shown in FIG. 7, frequency monitor 704 receives wave clock 208 and reference clock 602, which represents the target frequency. Frequency monitor 704 generates a frequency error signal 708 based on a difference between wave clock 208 and reference clock 602. Frequency monitor 704 provides frequency error signal 708 to speed adjust control circuit 702.
Based on frequency error signal 708, speed adjust control circuit 702 outputs a control signal 710 to wave clocking system 200 to increase/decrease the speed of the delay chains of wave clocking system 200, so as to bring frequency error signal 708 closer to zero. In an embodiment, control signal 710 corresponds to speed_adjust signal 306 described above in FIG. 3.
In an embodiment, speed adjust control circuit 702 is also provided a calibrated maximum value 706, to ensure that wave clock 208 does not exceed a maximum logic supported frequency. In particular, calibrated maximum value 706 ensures that control signal 710 does not configure wave clocking system 200 so as to produce wave clock 208 greater than the maximum logic supported frequency.
Wave clock 208, PLL clock 618, reference clock 620, and optionally other clock sources 718 are provided to multiplexer 712. A select signal 714 controls multiplexer 712 to select one of the input clocks as a core clock 716. Core clock 716 may then be provided to a CCU, such as CCU 612, for example.
FIG. 8 illustrates another example clock generation system 800 that integrates a wave clocking system according to an embodiment of the present invention. Like example system 600, example system 800 includes a wave clocking system 200 and a phase locked loop (PLL) 602. In other embodiments, PLL 602 may be eliminated. Further, example system 800 includes a voltage adjust control circuit 802, a frequency monitor circuit 704, and a multiplexer 712.
Like example system 700, example system 800 includes means to maintain wave clock 208 near a target frequency, below a maximum logic supported frequency. In particular, wave clocking system 200 is first fixed at its maximum calibration setting by providing it calibrated maximum value 706. In an embodiment, calibrated maximum value 706 corresponds to speed_adjust signal 306 described above in FIG. 3 and configures the delay chains of wave clocking system 200 so as to produce the maximum possible clock frequency.
Subsequently, the produced wave clock 208 is provided to frequency monitor 704 along with reference clock 602, which represents the target frequency. Frequency monitor 704 generates a frequency error signal 708 based on a difference between wave clock 208 and reference clock 602. Frequency monitor 704 provides frequency error signal 708 to voltage adjust control circuit 802.
Based on frequency error signal 708, voltage adjust control circuit 802 outputs a control signal 804 to the power manager or power management unit (PMU) to increase/decrease the supply voltage, as necessary, to cause a corresponding increase/decrease in wave clock 208, substantially equal to the frequency error represented by frequency error signal 708. Accordingly, wave clock 208 is brought and maintained near the target frequency by adjusting the supply voltage, instead of adjusting wave clocking system 200 directly.
FIG. 9 illustrates another example clock generation system 900 that integrates a wave clocking system according to an embodiment of the present invention. Like example system 600, example system 900 includes a wave clocking system 200 and a phase locked loop (PLL) 602. In other embodiments, PLL 602 may be eliminated. Further, example system 900 includes a voltage/speed adjust control circuit 902, a frequency monitor circuit 704, and a multiplexer 712.
Thus, example system 900 combines both means for controlling wave clock 208 of example systems 700 and 800. Specifically, based on frequency error signal 708, voltage/speed adjust control circuit 902 outputs a control signal 710 as described above in FIG. 7 and a control signal 804 as described above in FIG. 8.
Control signal 710 controls wave clock 208 by controlling wave clocking system 200 directly. This provides a relatively fast feedback loop to keep wave clock 208 near the target frequency. Control signal 804 controls wave clock 208 indirectly by controlling the supply voltage. Adjustments to the voltage from the power manager/PMU happen more slowly. However, the adjustments allow the supply voltage to be optimized for any target frequency, i.e., allows for adaptive voltage scaling (AVS) adjustments to be made automatically.
In addition to the uses described above, embodiments may also be used to reduce production test cost and increase the timing fault coverage of integrated circuits. Typically, ICs are tested using a logic built-in self-test (LBIST) in which hardware or software is built into the ICs to allow them to test their own operation. LBIST scans a test pattern into the logic using a scan clock frequency. Once scanned in, it applies a functional clock frequency to capture the logic result. Logic failures and timing failures can be detected by observing the data that gets scanned out during the next scan phase. One common problem is that the high logic activity during the scan phase causes large load currents on the supply, which introduces large voltage transients at the logic. The capture clock may capture the result at a high or a low voltage. The frequency of the capture clock needs to be limited to correspond to the minimum voltage. This means that many capture clocks are capturing results with a frequency that is lower than the frequency that is needed to test the timing of the logic. Thus, timing fault coverage is lowered.
Using embodiments, the capture clock can be provided using a wave clocking system. In this case, the clock frequency during the capture clock tracks the frequency which corresponds to the desired speed of the logic. Accordingly, higher timing fault coverage is achieved. In addition, the scan clock can be operated at the much higher wave clock frequency, since the logic is now tolerant of the voltage transients. As a result of the higher scan frequency, the LBIST test time will be reduced, which reduces production test cost.
Embodiments have been described above with the aid of functional building blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed.
The foregoing description of the specific embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present invention. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance.
The breadth and scope of embodiments of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (20)

What is claimed is:
1. A system for generating a clock signal in an integrated circuit (IC), comprising:
a wave clocking circuit configured to generate the clock signal;
a frequency monitor circuit configured to directly compare a frequency of the clock signal with a target frequency to generate a frequency error; and
a control circuit configured to generate a control signal based on the frequency error, the control signal being configured to adjust the frequency of the clock signal to reduce the frequency error,
wherein the wave clocking circuit comprises a delay chain with a delay matched to a predetermined delay, and
wherein the control signal is configured to adjust a supply voltage of the IC.
2. The system of claim 1, wherein the delay chain is configured to produce an output signal having a period that matches substantially the predetermined delay, and wherein the clock signal corresponds to the output signal of the delay chain.
3. The system of claim 2, wherein the control circuit is further configured to provide the control signal to the wave clocking circuit, and wherein the control signal is configured to adjust the delay chain to adjust the frequency of the clock signal.
4. The system of claim 1, wherein the delay chain includes a ring oscillator circuit.
5. The system of claim 1, wherein the delay chain includes a plurality of delay cells coupled in series.
6. The system of claim 1, wherein the target frequency is configured to be below a maximum logic supported frequency.
7. The system of claim 6, wherein the control circuit is further configured to limit the control signal to a value at or below a maximum value, the maximum value being configured to result in the frequency of the clock signal at a maximum allowable frequency below the maximum logic supported frequency.
8. The system of claim 1, further comprising:
a power manager,
wherein the control circuit is further configured to provide the control signal to the power manager, and
wherein the power manager is configured to adjust the supply voltage of the IC responsive to the control signal.
9. The system of claim 8, wherein the delay chain is responsive to the supply voltage of the IC.
10. The system of claim 8, wherein the adjusted supply voltage causes the frequency of the clock signal to decrease from a maximum allowable frequency to the target frequency.
11. The system of claim 1, wherein the frequency of the clock signal to reduce the frequency error is adjusted by the adjustment of the supply voltage of the IC.
12. A method for generating a clock signal for an integrated circuit (IC), comprising:
generating a signal having a period that matches substantially a predetermined delay;
designating the signal as the clock signal;
directly comparing a frequency of the clock signal with a target frequency to generate a frequency error;
generating a control signal based on the frequency error, the control signal being configured to adjust the frequency of the clock signal to reduce the frequency error; and
adjusting a supply voltage of the IC responsive to the control signal.
13. The method of claim 12, further comprising:
adjusting the period of the signal responsive to the control signal to adjust the frequency of the clock signal.
14. The method of claim 12, wherein the target frequency is configured to be at or below a maximum logic supported frequency.
15. The method of claim 12, wherein the period of the signal is responsive to the supply voltage of the IC.
16. The method of claim 12, wherein the adjusted supply voltage causes the frequency of the clock signal to decrease from a maximum allowable frequency to the target frequency.
17. The method of claim 12, wherein the frequency of the clock signal to reduce the frequency error is adjusted by the adjustment of the supply voltage of the IC.
18. A system for generating a clock signal for an integrated circuit (IC), comprising:
a wave clocking circuit configured to generate the clock signal;
a frequency monitor circuit configured to directly compare a frequency of the clock signal with a target frequency to generate a frequency error; and
a control circuit configured to generate a control signal based on the frequency error, the control signal being configured to adjust the frequency of the clock signal to reduce the frequency error,
wherein the control signal is further configured to adjust a supply voltage of the IC.
19. The system of claim 18, wherein the frequency of the clock signal to reduce the frequency error is adjusted by the adjustment of the supply voltage of the IC.
20. The system of claim 18, further comprising:
a delay chain, wherein the delay chain is configured to produce an output signal having a period that matches substantially the predetermined delay, and wherein the clock signal corresponds to the output signal of the delay chain.
US14/322,758 2011-08-17 2014-07-02 Wave clocking Active US9312863B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/322,758 US9312863B2 (en) 2011-08-17 2014-07-02 Wave clocking
US15/095,439 US10033391B2 (en) 2011-08-17 2016-04-11 Adaptive clocking scheme

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161524538P 2011-08-17 2011-08-17
US13/331,796 US8810300B2 (en) 2011-08-17 2011-12-20 Wave clocking
US14/322,758 US9312863B2 (en) 2011-08-17 2014-07-02 Wave clocking

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/331,796 Continuation US8810300B2 (en) 2011-08-17 2011-12-20 Wave clocking

Publications (2)

Publication Number Publication Date
US20140312947A1 US20140312947A1 (en) 2014-10-23
US9312863B2 true US9312863B2 (en) 2016-04-12

Family

ID=47712228

Family Applications (18)

Application Number Title Priority Date Filing Date
US13/247,295 Active 2032-10-05 US8954017B2 (en) 2011-08-17 2011-09-28 Clock signal multiplication to reduce noise coupled onto a transmission communication signal of a communications device
US13/247,694 Active 2032-01-16 US8575993B2 (en) 2011-08-17 2011-09-28 Integrated circuit with pre-heating for reduced subthreshold leakage
US13/249,057 Active 2032-06-04 US8782314B2 (en) 2011-08-17 2011-09-29 Scalable and configurable system on a chip interrupt controller
US13/250,529 Active 2031-10-03 US8650633B2 (en) 2011-08-17 2011-09-30 Integrated circuit for preventing chip swapping and/or device cloning in a host device
US13/303,922 Active 2033-04-29 US9160348B2 (en) 2011-08-17 2011-11-23 Apparatus and method to update a default time interval based on process corner, temperature and voltage
US13/331,765 Expired - Fee Related US8823447B2 (en) 2011-08-17 2011-12-20 Time-based apparatus and method to mitigate semiconductor aging effects
US13/331,796 Active US8810300B2 (en) 2011-08-17 2011-12-20 Wave clocking
US13/331,874 Active 2033-12-07 US9312862B2 (en) 2011-08-17 2011-12-20 Adaptive clocking scheme to accommodate supply voltage transients
US13/338,001 Active 2033-11-07 US9225343B2 (en) 2011-08-17 2011-12-27 Electronics device capable of efficient communication between components with asyncronous clocks
US13/341,787 Active US8745724B2 (en) 2011-08-17 2011-12-30 Methods of on-chip memory partitioning and secure access violation checking in a system-on-chip
US13/340,873 Active 2032-08-04 US8744368B2 (en) 2011-08-17 2011-12-30 Integrated circuit with an adaptable contact pad reconfiguring architecture
US13/341,748 Active 2033-11-09 US9407272B2 (en) 2011-08-17 2011-12-30 Systems and methods for distributing an aging burden among processor cores
US13/437,675 Expired - Fee Related US8856559B2 (en) 2011-08-17 2012-04-02 Integrated circuit allowing to test a power management unit based on or more conditions and configuring the plurality of pins to operate in normal and test mode
US13/533,480 Abandoned US20130046967A1 (en) 2011-08-17 2012-06-26 Proactive Power Management Using a Power Management Unit
US14/041,505 Active 2032-03-19 US9209816B2 (en) 2011-08-17 2013-09-30 Pre-heating for reduced subthreshold leakage
US14/322,758 Active US9312863B2 (en) 2011-08-17 2014-07-02 Wave clocking
US15/095,439 Active 2031-12-23 US10033391B2 (en) 2011-08-17 2016-04-11 Adaptive clocking scheme
US16/017,421 Active 2032-06-30 US10804906B2 (en) 2011-08-17 2018-06-25 Adaptive clocking scheme

Family Applications Before (15)

Application Number Title Priority Date Filing Date
US13/247,295 Active 2032-10-05 US8954017B2 (en) 2011-08-17 2011-09-28 Clock signal multiplication to reduce noise coupled onto a transmission communication signal of a communications device
US13/247,694 Active 2032-01-16 US8575993B2 (en) 2011-08-17 2011-09-28 Integrated circuit with pre-heating for reduced subthreshold leakage
US13/249,057 Active 2032-06-04 US8782314B2 (en) 2011-08-17 2011-09-29 Scalable and configurable system on a chip interrupt controller
US13/250,529 Active 2031-10-03 US8650633B2 (en) 2011-08-17 2011-09-30 Integrated circuit for preventing chip swapping and/or device cloning in a host device
US13/303,922 Active 2033-04-29 US9160348B2 (en) 2011-08-17 2011-11-23 Apparatus and method to update a default time interval based on process corner, temperature and voltage
US13/331,765 Expired - Fee Related US8823447B2 (en) 2011-08-17 2011-12-20 Time-based apparatus and method to mitigate semiconductor aging effects
US13/331,796 Active US8810300B2 (en) 2011-08-17 2011-12-20 Wave clocking
US13/331,874 Active 2033-12-07 US9312862B2 (en) 2011-08-17 2011-12-20 Adaptive clocking scheme to accommodate supply voltage transients
US13/338,001 Active 2033-11-07 US9225343B2 (en) 2011-08-17 2011-12-27 Electronics device capable of efficient communication between components with asyncronous clocks
US13/341,787 Active US8745724B2 (en) 2011-08-17 2011-12-30 Methods of on-chip memory partitioning and secure access violation checking in a system-on-chip
US13/340,873 Active 2032-08-04 US8744368B2 (en) 2011-08-17 2011-12-30 Integrated circuit with an adaptable contact pad reconfiguring architecture
US13/341,748 Active 2033-11-09 US9407272B2 (en) 2011-08-17 2011-12-30 Systems and methods for distributing an aging burden among processor cores
US13/437,675 Expired - Fee Related US8856559B2 (en) 2011-08-17 2012-04-02 Integrated circuit allowing to test a power management unit based on or more conditions and configuring the plurality of pins to operate in normal and test mode
US13/533,480 Abandoned US20130046967A1 (en) 2011-08-17 2012-06-26 Proactive Power Management Using a Power Management Unit
US14/041,505 Active 2032-03-19 US9209816B2 (en) 2011-08-17 2013-09-30 Pre-heating for reduced subthreshold leakage

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/095,439 Active 2031-12-23 US10033391B2 (en) 2011-08-17 2016-04-11 Adaptive clocking scheme
US16/017,421 Active 2032-06-30 US10804906B2 (en) 2011-08-17 2018-06-25 Adaptive clocking scheme

Country Status (2)

Country Link
US (18) US8954017B2 (en)
WO (3) WO2013026032A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9912322B2 (en) 2013-07-03 2018-03-06 Nvidia Corporation Clock generation circuit that tracks critical path across process, voltage and temperature variation
US10033391B2 (en) 2011-08-17 2018-07-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Adaptive clocking scheme
US10103719B2 (en) 2013-07-22 2018-10-16 Nvidia Corporation Integrated voltage regulator with in-built process, temperature and aging compensation

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8286046B2 (en) * 2001-09-28 2012-10-09 Rambus Inc. Integrated circuit testing module including signal shaping interface
US9946667B2 (en) * 2008-11-12 2018-04-17 Microchip Technology Incorporated Microcontroller with configurable logic array
US8682639B2 (en) * 2010-09-21 2014-03-25 Texas Instruments Incorporated Dedicated memory window for emulation address
FR2965931B1 (en) * 2010-10-08 2013-05-03 Satimo Ind METHOD AND DEVICE FOR ELECTRONIC TESTING OF AN OBJECT
CN103460159B (en) * 2011-04-11 2016-06-08 索尼电脑娱乐公司 Semiconductor integrated circuit
EP2541220B1 (en) * 2011-06-28 2015-04-08 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Device for measuring a temperature of a high-power semiconductor
US20130086395A1 (en) * 2011-09-30 2013-04-04 Qualcomm Incorporated Multi-Core Microprocessor Reliability Optimization
KR101840852B1 (en) * 2011-10-10 2018-03-22 삼성전자주식회사 Surface temperature management method of mobile device and memory thermal management method of multichip package
US8831515B2 (en) 2011-10-12 2014-09-09 Broadcom Corporation Shaped load modulation in a near field communications (NFC) device
JP2013088394A (en) * 2011-10-21 2013-05-13 Renesas Electronics Corp Semiconductor device
US20140359350A1 (en) * 2012-02-24 2014-12-04 Jeffrey A PLANK Wear-leveling cores of a multi-core processor
US9344358B2 (en) * 2012-03-12 2016-05-17 Utah State University Aging-aware routing for NoCs
US20150198996A1 (en) * 2012-03-15 2015-07-16 Google Inc. Dynamically adjustable power usage
US20130262654A1 (en) * 2012-03-28 2013-10-03 Sony Corporation Resource management system with resource optimization mechanism and method of operation thereof
WO2013147865A1 (en) 2012-03-30 2013-10-03 Intel Corporation A mechanism for saving and retrieving micro-architecture context
US20130262912A1 (en) * 2012-04-02 2013-10-03 International Business Machines Corporation Managing hardware configuration of a computer node
US20130275353A1 (en) * 2012-04-16 2013-10-17 Apple Inc. Systems and methods for selecting media items
US9229884B2 (en) 2012-04-30 2016-01-05 Freescale Semiconductor, Inc. Virtualized instruction extensions for system partitioning
US8856567B2 (en) * 2012-05-10 2014-10-07 International Business Machines Corporation Management of thermal condition in a data processing system by dynamic management of thermal loads
US9176563B2 (en) * 2012-05-14 2015-11-03 Broadcom Corporation Leakage variation aware power management for multicore processors
US9152587B2 (en) 2012-05-31 2015-10-06 Freescale Semiconductor, Inc. Virtualized interrupt delay mechanism
US10156455B2 (en) 2012-06-05 2018-12-18 Apple Inc. Context-aware voice guidance
US9886794B2 (en) 2012-06-05 2018-02-06 Apple Inc. Problem reporting in maps
US8965696B2 (en) 2012-06-05 2015-02-24 Apple Inc. Providing navigation instructions while operating navigation application in background
US9997069B2 (en) 2012-06-05 2018-06-12 Apple Inc. Context-aware voice guidance
US9482296B2 (en) 2012-06-05 2016-11-01 Apple Inc. Rendering road signs during navigation
US9111380B2 (en) 2012-06-05 2015-08-18 Apple Inc. Rendering maps
US9418672B2 (en) 2012-06-05 2016-08-16 Apple Inc. Navigation application with adaptive instruction text
US8918066B2 (en) 2012-06-08 2014-12-23 Apple Inc. Facilitating switching between transmitting antennas in portable electronic devices
US8880749B2 (en) 2012-06-30 2014-11-04 Silicon Laboratories Inc. Apparatus for mixed signal interface circuitry and associated methods
US8762586B2 (en) * 2012-06-30 2014-06-24 Silicon Laboratories Inc. Apparatus for mixed signal interface acquisition circuitry and associated methods
US9086865B2 (en) * 2012-07-09 2015-07-21 International Business Machines Corporation Power napping technique for accelerated negative bias temperature instability (NBTI) and/or positive bias temperature instability (PBTI) recovery
WO2014023354A1 (en) * 2012-08-09 2014-02-13 Telefonaktiebolaget L M Ericsson (Publ) Method and module for providing instructions for setting a supply voltage
US9442870B2 (en) * 2012-08-09 2016-09-13 Freescale Semiconductor, Inc. Interrupt priority management using partition-based priority blocking processor registers
US9436626B2 (en) * 2012-08-09 2016-09-06 Freescale Semiconductor, Inc. Processor interrupt interface with interrupt partitioning and virtualization enhancements
US9239604B2 (en) * 2012-08-31 2016-01-19 Vixs Systems, Inc. Video processing device with ring oscillator for power adjustment and methods for use therewith
US9524012B2 (en) * 2012-10-05 2016-12-20 Dell Products L.P. Power system utilizing processor core performance state control
US8996902B2 (en) 2012-10-23 2015-03-31 Qualcomm Incorporated Modal workload scheduling in a heterogeneous multi-processor system on a chip
US8655307B1 (en) * 2012-10-26 2014-02-18 Lookout, Inc. System and method for developing, updating, and using user device behavioral context models to modify user, device, and application state, settings and behavior for enhanced user security
JP6146852B2 (en) * 2012-10-30 2017-06-14 シナプティクス・ジャパン合同会社 Display control apparatus and data processing system
US9087146B2 (en) * 2012-12-21 2015-07-21 Intel Corporation Wear-out equalization techniques for multiple functional units
US9451551B2 (en) * 2012-12-21 2016-09-20 Apple Inc. Controlling a power state of a cellular packet data subsystem in a portable electronic device
US10175739B2 (en) 2013-01-29 2019-01-08 Avago Technologies International Sales Pte. Limited Wearable device-aware supervised power management for mobile platforms
US9000805B2 (en) * 2013-01-29 2015-04-07 Broadcom Corporation Resonant inductor coupling clock distribution
US9172383B2 (en) 2013-01-29 2015-10-27 Broadcom Corporation Induction-coupled clock distribution for an integrated circuit
CN103197717B (en) * 2013-02-28 2015-11-25 华为技术有限公司 Adaptive voltage method of adjustment, chip and system
US9417643B2 (en) 2013-03-15 2016-08-16 Qualcomm Incorporated Voltage regulator with variable impedance element
TWI641943B (en) * 2013-03-15 2018-11-21 美商英特爾公司 Controlling power supply unit power consumption during idle state
TWI498737B (en) * 2013-03-29 2015-09-01 Mstar Semiconductor Inc Debug authorization determining method for motherboard control module and motherboard control module thereof
WO2014165431A1 (en) 2013-04-05 2014-10-09 Antique Books, Inc. Method and system providing a picture password proof of knowledge
US10409353B2 (en) * 2013-04-17 2019-09-10 Qualcomm Incorporated Dynamic clock voltage scaling (DCVS) based on application performance in a system-on-a-chip (SOC), and related methods and processor-based systems
CN104112095B (en) * 2013-04-18 2017-09-22 晨星半导体股份有限公司 For motherboard control module except wrong authority determination methods and motherboard control module
US20140344827A1 (en) * 2013-05-16 2014-11-20 Nvidia Corporation System, method, and computer program product for scheduling a task to be performed by at least one processor core
TWI485367B (en) * 2013-05-17 2015-05-21 Advanced Semiconductor Eng Process, voltage and temperature(pvt)sensor and method for operating the same
US20140344592A1 (en) * 2013-05-20 2014-11-20 Advanced Micro Devices, Inc. Methods and apparatus for powering up an integrated circuit
US9471088B2 (en) * 2013-06-25 2016-10-18 Intel Corporation Restricting clock signal delivery in a processor
KR102187505B1 (en) * 2013-07-22 2020-12-08 삼성전자 주식회사 Method and apparatus for contriolling display of electronic device
DE102013216699A1 (en) * 2013-08-22 2015-02-26 Siemens Ag Österreich Method and circuit arrangement for securing against scanning of an address space
US9703355B2 (en) * 2013-08-28 2017-07-11 Qualcomm Incorporated Method, devices and systems for dynamic multimedia data flow control for thermal power budgeting
GB2517732A (en) * 2013-08-29 2015-03-04 Sim & Pin Ltd System for accessing data from multiple devices
US9071581B2 (en) * 2013-09-23 2015-06-30 Nvidia Corporation Secure storage with SCSI storage devices
US9383407B2 (en) * 2013-10-16 2016-07-05 Apple Inc. Instantaneous IR drop measurement circuit
KR20150050135A (en) 2013-10-31 2015-05-08 삼성전자주식회사 Electronic system including a plurality of heterogeneous cores and operating method therof
US10079019B2 (en) 2013-11-12 2018-09-18 Apple Inc. Always-on audio control for mobile device
CN104700886B (en) * 2013-12-06 2019-05-31 恩智浦美国有限公司 Memory circuit with power supply status sensor
US9606843B2 (en) 2013-12-18 2017-03-28 Qualcomm Incorporated Runtime optimization of multi-core system designs for increased operating life and maximized performance
US20150169363A1 (en) * 2013-12-18 2015-06-18 Qualcomm Incorporated Runtime Optimization of Multi-core System Designs for Increased Operating Life and Maximized Performance
US10261875B2 (en) * 2013-12-18 2019-04-16 Qualcomm Incorporated Runtime optimization of multi-core system designs for increased operating life and maximized performance
US9703613B2 (en) 2013-12-20 2017-07-11 Qualcomm Incorporated Multi-core dynamic workload management using native and dynamic parameters
KR20160102446A (en) * 2013-12-23 2016-08-30 마벨 이스라엘 (엠.아이.에스.엘) 리미티드 Apparatus and method for reacting to a change in supply voltage
JP6221792B2 (en) * 2014-02-05 2017-11-01 富士通株式会社 Information processing apparatus, information processing system, and information processing system control method
US10371415B2 (en) 2014-02-19 2019-08-06 The Boeing Company Electronics operation for temperature controlled systems
US9268970B2 (en) 2014-03-20 2016-02-23 Analog Devices, Inc. System and method for security-aware master
TWI548886B (en) 2014-04-18 2016-09-11 創意電子股份有限公司 Aging detection circuit and method thereof
US9300659B2 (en) * 2014-04-22 2016-03-29 Antique Books, Inc. Method and system of providing a picture password for relatively smaller displays
US9323435B2 (en) 2014-04-22 2016-04-26 Robert H. Thibadeau, SR. Method and system of providing a picture password for relatively smaller displays
US9521636B2 (en) * 2014-04-22 2016-12-13 Nxp Usa, Inc. Synchronization circuitry, common public radio interface enable device, and a method of synchronizing a synchronized clock signal of a second transceiver to a clock of a first transceiver
JP6366358B2 (en) * 2014-05-20 2018-08-01 キヤノン株式会社 Information processing apparatus, information processing apparatus control method, and program
EP3146746B1 (en) * 2014-05-21 2019-07-03 Abbott Diabetes Care Inc. Management of multiple devices within an analyte monitoring environment
US9619377B2 (en) * 2014-05-29 2017-04-11 Apple Inc. System on a chip with always-on processor which reconfigures SOC and supports memory-only communication mode
US10031000B2 (en) 2014-05-29 2018-07-24 Apple Inc. System on a chip with always-on processor
US9778728B2 (en) 2014-05-29 2017-10-03 Apple Inc. System on a chip with fast wake from sleep
EP3149985A1 (en) 2014-06-02 2017-04-05 Antique Books Inc. Advanced proof of knowledge authentication
EP3149645B1 (en) 2014-06-02 2018-05-16 Antique Books Inc. Device for entering graphical password on small displays with cursor offset
US20150363116A1 (en) * 2014-06-12 2015-12-17 Advanced Micro Devices, Inc. Memory controller power management based on latency
WO2015199754A1 (en) * 2014-06-24 2015-12-30 Ruckus Wireless, Inc. Provisioning radios associated with acess points for testing a wireless network
US9720868B2 (en) * 2014-07-07 2017-08-01 Xilinx, Inc. Bridging inter-bus communications
US9367442B2 (en) * 2014-07-12 2016-06-14 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Allocating memory usage based on voltage regulator efficiency
US10079906B2 (en) * 2014-07-16 2018-09-18 Comcast Cable Communications, Llc Device mode settings to provide an enhanced user experience
EP3180725A1 (en) 2014-08-11 2017-06-21 Antique Books Inc. Methods and systems for securing proofs of knowledge for privacy
US20160091950A1 (en) * 2014-09-26 2016-03-31 Apple Inc. Peak current management
KR101637712B1 (en) 2014-10-31 2016-07-20 현대자동차주식회사 System for guiding economic driving, Vehicle applied to the same, and Method thereof
US9967417B2 (en) * 2015-01-21 2018-05-08 Canon Kabushiki Kaisha Managing apparatus power states
US9785211B2 (en) * 2015-02-13 2017-10-10 Qualcomm Incorporated Independent power collapse methodology
CN111176748B (en) 2015-05-08 2022-02-11 荣耀终端有限公司 Configuration method of setting information, terminal and server
CN106293644B (en) * 2015-05-12 2022-02-01 超威半导体产品(中国)有限公司 Power budget method considering time thermal coupling
US11265165B2 (en) 2015-05-22 2022-03-01 Antique Books, Inc. Initial provisioning through shared proofs of knowledge and crowdsourced identification
US9804666B2 (en) * 2015-05-26 2017-10-31 Samsung Electronics Co., Ltd. Warp clustering
US10234926B2 (en) * 2015-06-16 2019-03-19 Dell Products, Lp Method and apparatus for customized energy policy based on energy demand estimation for client systems
CN104933984B (en) * 2015-07-20 2017-12-05 京东方科技集团股份有限公司 Display device and its driving method and drive module
US10073718B2 (en) 2016-01-15 2018-09-11 Intel Corporation Systems, methods and devices for determining work placement on processor cores
US9825620B2 (en) * 2016-01-21 2017-11-21 Apple Inc. Method and apparatus for digital undervoltage detection and control
US10552219B2 (en) 2016-02-19 2020-02-04 Microsoft Technology Licensing, Llc Computing resource management of computing devices
US9806828B2 (en) 2016-02-24 2017-10-31 Frontier Engineering, Llc Radio frequency generator automated test system
KR101758267B1 (en) * 2016-03-10 2017-07-17 한국과학기술원 Communication apparatus for predicting power consumption of mobile application, communication system having the same, method of predicting power consumption of mobile application and method of providing predicted power consumption of mobile application
US10496811B2 (en) * 2016-08-04 2019-12-03 Data I/O Corporation Counterfeit prevention
US10310548B2 (en) 2016-11-07 2019-06-04 Microsoft Technology Licensing, Llc Expected lifetime management
DE102016124962A1 (en) * 2016-12-20 2018-06-21 Infineon Technologies Ag A memory device and method for controlling a memory support function
EP3343313A1 (en) * 2016-12-30 2018-07-04 Intel IP Corporation Devices and methods for thermal management
US10115471B1 (en) * 2017-05-01 2018-10-30 Western Digital Technologies, Inc. Storage system and method for handling overheating of the storage system
US11551990B2 (en) 2017-08-11 2023-01-10 Advanced Micro Devices, Inc. Method and apparatus for providing thermal wear leveling
US11742038B2 (en) 2017-08-11 2023-08-29 Advanced Micro Devices, Inc. Method and apparatus for providing wear leveling
US11131502B2 (en) * 2017-08-14 2021-09-28 Ut-Battelle, Llc Heating system with induction power supply and electromagnetic acoustic transducer with induction power supply
CN107483180B (en) * 2017-08-21 2020-05-01 湖南大学 High-stability physical unclonable function circuit
KR102362107B1 (en) * 2017-11-21 2022-02-11 구글 엘엘씨 Low Power Ambient Computing System with Machine Learning Capabilities
TWI664555B (en) * 2017-11-30 2019-07-01 大陸商北京集創北方科技股份有限公司 Key pairing method between display screen and motherboard of handheld device and handheld device using same
KR102604735B1 (en) * 2017-11-30 2023-11-22 삼성전자주식회사 Apparatus and method for manegementing consumption power in a electrolic device
WO2019117961A1 (en) * 2017-12-15 2019-06-20 Intel Corporation Power governance of processing unit
GB201806465D0 (en) 2018-04-20 2018-06-06 Nordic Semiconductor Asa Memory-access controll
CN110490008B (en) * 2018-05-14 2021-08-10 英韧科技(上海)有限公司 Security device and security chip
CN110196824B (en) * 2018-05-31 2022-12-09 腾讯科技(深圳)有限公司 Method and device for realizing data transmission and electronic equipment
GB201810662D0 (en) 2018-06-28 2018-08-15 Nordic Semiconductor Asa Peripheral Access On A Secure-Aware Bus System
GB201810659D0 (en) * 2018-06-28 2018-08-15 Nordic Semiconductor Asa Secure-Aware Bus System
GB201810653D0 (en) 2018-06-28 2018-08-15 Nordic Semiconductor Asa Secure peripheral interconnect
CN109144214B (en) * 2018-08-06 2022-05-03 交叉信息核心技术研究院(西安)有限公司 Energy management system, method, electronic device, device and nonvolatile processor
US20210247839A1 (en) * 2018-09-03 2021-08-12 Drexel University On-chip voltage assignment through particle swarm optimization
US11531385B2 (en) 2018-09-17 2022-12-20 Samsung Electronics Co., Ltd. Voltage droop monitoring circuits, system-on chips and methods of operating the system-on chips
KR102639095B1 (en) 2018-10-29 2024-02-23 삼성전자주식회사 Electronic device for managing degradation degree
TWI675293B (en) * 2018-11-02 2019-10-21 神雲科技股份有限公司 A host boot detection method and its system
US10969843B2 (en) * 2018-11-06 2021-04-06 International Business Machines Corporation Device-to-device wireless power transfer to provide content display continuity
CN111506530A (en) * 2019-01-30 2020-08-07 智原科技股份有限公司 Interrupt management system and management method thereof
US11543872B2 (en) 2019-07-02 2023-01-03 Microsoft Technology Licensing, Llc Dynamically adjusting device operating voltage based on device performance
US10996266B2 (en) 2019-08-09 2021-05-04 Stmicroelectronics International N.V. System and method for testing voltage monitors
US11567555B2 (en) * 2019-08-30 2023-01-31 Intel Corporation Software assisted power management
US11204766B2 (en) * 2019-08-30 2021-12-21 Intel Corporation Proactive Di/Dt voltage droop mitigation
CN113051199A (en) 2019-12-26 2021-06-29 阿里巴巴集团控股有限公司 Data transmission method and device
EP3866356B1 (en) * 2020-02-17 2023-08-23 Rohde & Schwarz GmbH & Co. KG Method of measuring a total radiated power of a device under test as well as test system
TWI749580B (en) * 2020-06-08 2021-12-11 星河半導體股份有限公司 Multi-channel antenna chip test system and method
CN111769824B (en) * 2020-07-13 2022-06-14 电子科技大学 Configurable delay circuit
US11843939B2 (en) * 2020-12-16 2023-12-12 Itron, Inc. Secure messaging for outage events
US11592894B2 (en) * 2021-04-12 2023-02-28 Dell Products L.P. Increasing power efficiency for an information handling system
CN113655994B (en) * 2021-10-21 2022-02-18 北京壁仞科技开发有限公司 Current change slope control method, control device and medium for multi-core processor

Citations (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124848A (en) 1977-09-21 1978-11-07 Automation Industries, Inc. Range limited area protection system
US4479216A (en) 1982-12-22 1984-10-23 At&T Bell Laboratories Skew-free clock circuit for integrated circuit chip
US4775997A (en) 1984-09-18 1988-10-04 Metrofone, Inc. System for interfacing a standard telephone set with a radio transceiver
US5526360A (en) 1992-06-29 1996-06-11 Dade International Inc. High speed N-to-1 burst time-multiplexed data transmission system and method
US5550514A (en) 1993-04-28 1996-08-27 Telefonaktiebolaget Lm Ericsson Digital controlled xtal osc
US5590400A (en) 1993-03-30 1996-12-31 Nokia Telecommunications Oy Method of searching for a signalling channel in a radio system
US5873039A (en) 1994-11-28 1999-02-16 Interonics Corporation Cellular telephone-modem interface for data communication
US6194940B1 (en) 1999-09-27 2001-02-27 Lucent Technologies Inc. Automatic clock switching
US6320438B1 (en) 2000-08-17 2001-11-20 Pericom Semiconductor Corp. Duty-cycle correction driver with dual-filter feedback loop
US6452364B1 (en) 1999-04-09 2002-09-17 Fujitsu Limited Battery charge control circuit, battery charging device, and battery charge control method
US20020135343A1 (en) 2001-03-22 2002-09-26 Underbrink Paul A. Critical path adaptive power control
US6586971B1 (en) 2001-12-18 2003-07-01 Hewlett-Packard Development Company, L.P. Adapting VLSI clocking to short term voltage transients
US6658237B1 (en) 1999-03-02 2003-12-02 Skyworks Solutions, Inc. Multi-Band transceiver utilizing direct conversion receiver
US20040098630A1 (en) 2001-06-29 2004-05-20 Masleid Robert P. Cold clock power reduction
US20040130357A1 (en) 2002-07-26 2004-07-08 Sterling Smith Logic system with adaptive supply voltage control
US6782486B1 (en) 2000-08-11 2004-08-24 Advanced Micro Devices, Inc. Apparatus for stopping and starting a clock in a clock forwarded I/O system depending on the presence of valid data in a receive buffer
WO2005008424A2 (en) 2003-07-11 2005-01-27 Finanalytica, Inc. Providing optimization of a financial portfolio using a parametric leptokurtic distribution
US20050017676A1 (en) 2002-09-18 2005-01-27 Fujitsu Limited Portable device and semiconductor device
US6931562B1 (en) 2001-08-23 2005-08-16 Hewlett-Packard Development Company, L.P. System and method for transferring data from a higher frequency clock domain to a lower frequency clock domain
US6934215B2 (en) 2002-09-04 2005-08-23 Samsung Electronics Co., Ltd. Semiconductor memory device having duty cycle correction circuit and interpolation circuit interpolating clock signal in the semiconductor memory device
WO2005088424A2 (en) 2004-03-10 2005-09-22 Koninklijke Philips Electronics N.V. Calibration of clock generators in system-on-chip integrated circuits
US6977979B1 (en) 2000-08-31 2005-12-20 Hewlett-Packard Development Company, L.P. Enhanced clock forwarding data recovery
US6995621B1 (en) 2003-09-17 2006-02-07 Hewlett-Packard Development Company, L.P. On-chip variable oscillator method and apparatus
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
US7129763B1 (en) 2004-11-08 2006-10-31 Western Digital Technologies, Inc. Adjusting power consumption of digital circuitry by generating frequency error representing error in propagation delay
US7184511B2 (en) 2003-01-17 2007-02-27 Xilinx, Inc. Method and apparatus for data density-independent phase adjustment in a clock and data recovery system
US20070096775A1 (en) 2005-10-31 2007-05-03 Mohamed Elgebaly Adaptive voltage scaling for an electronics device
US7219175B1 (en) 2005-03-31 2007-05-15 Emc Corporation Method and system for improving the latency in a data transmission system
US20070132523A1 (en) 2005-12-08 2007-06-14 Intel Corporation Leakage oscillator based aging monitor
US7242733B2 (en) * 2002-06-11 2007-07-10 Matsushita Electric Industrial Co., Ltd. Clock recovery circuit
US20080068100A1 (en) 2006-09-12 2008-03-20 Goodnow Kenneth J Power management architecture and method of modulating oscillator frequency based on voltage supply
US20080122405A1 (en) 2006-11-28 2008-05-29 Uniden Corporation Constant voltage and constant current power source
US20080204158A1 (en) 2007-02-27 2008-08-28 Infineon Technologies Ag Apparatus and method for generating a supply voltage-dependent clock signal
US20080320292A1 (en) 2007-06-21 2008-12-25 Daren Croxford Self programming slave device controller
US7505541B1 (en) 2003-01-17 2009-03-17 Xilinx, Inc. NRZ/PAM-4/PRML triple mode phase and data detector
US20090116578A1 (en) 2007-11-07 2009-05-07 Roland Sperlich Distortion compensation in a communication system
US7642825B2 (en) * 2005-10-27 2010-01-05 Nec Electronics Corporation DLL circuit and test method thereof
US7716511B2 (en) 2006-03-08 2010-05-11 Freescale Semiconductor, Inc. Dynamic timing adjustment in a circuit device
WO2010058294A1 (en) 2008-11-19 2010-05-27 Compagnie Gervais Danone Blood-cholesterol-lowering strain of lactobacillus delbrueckii
WO2010058249A1 (en) 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Method and apparatus for generating a clock signal
US20100145895A1 (en) 2005-12-06 2010-06-10 Narendra Siva G Component reliability budgeting system
US7761268B2 (en) 2007-05-02 2010-07-20 National Changua University of Education Non-linear transient analysis module and method for phase locked loop
US20100194400A1 (en) 2009-02-02 2010-08-05 Thomas Baumann Circuit Arrangement With A Test Circuit And A Reference Circuit And Corresponding Method
US7813459B2 (en) 2005-10-03 2010-10-12 Spansion Llc Digital data transfer between different clock domains
US7902654B2 (en) 2006-05-10 2011-03-08 Qualcomm Incorporated System and method of silicon switched power delivery using a package
US20110140752A1 (en) 2009-12-14 2011-06-16 Qualcomm Incorporated Adaptive Clock Generators, Systems, and Methods
US20110181315A1 (en) 2010-01-25 2011-07-28 Broadcom Corporation Adaptive Device Aging Monitoring and Compensation
US20110215827A1 (en) 2010-03-03 2011-09-08 Qualcomm Incorporated Method and Apparatus for Testing a Memory Device
US8050375B2 (en) 2007-06-22 2011-11-01 Texas Instruments Incorporated Digital phase locked loop with integer channel mitigation
US8069363B2 (en) 2002-12-19 2011-11-29 Mosaid Technologies Incorporated Double data rate output latch for static RAM device has edge-triggered flip-flop to output DDR signal to synchronize with a second clock signal
US8095813B2 (en) 2004-03-22 2012-01-10 Integrated Device Technology, Inc Integrated circuit systems having processor-controlled clock signal generators therein that support efficient power management
US8129953B2 (en) 2009-08-04 2012-03-06 Broadcom Corporation Power management unit for a wireless device
US8134411B2 (en) 2008-04-17 2012-03-13 Texas Instruments Incorporated Computation spreading utilizing dithering for spur reduction in a digital phase lock loop
US8145670B2 (en) 2006-12-27 2012-03-27 Fujitsu Limited Electronic file system, operating device, approval device, and computer program
US8175545B2 (en) 2007-05-17 2012-05-08 Broadcom Corporation Communication devices with integrated thermal sensing circuit and methods for use therewith
US8176352B2 (en) 2008-04-16 2012-05-08 Adavanced Micro Devices, Inc. Clock domain data transfer device and methods thereof
US8175548B2 (en) 2005-03-30 2012-05-08 Freescale Semiconductor, Inc. Method and device for transmitting a sequence of transmission bursts
US8183899B2 (en) * 2008-11-11 2012-05-22 Renesas Electronics Corporation Semiconductor integrated circuit and control method for clock signal synchronization
US8198868B2 (en) 2010-01-20 2012-06-12 Broadcom Corporation Power management unit for a wireless device
US8306174B2 (en) 2008-07-30 2012-11-06 Texas Instruments Incorporated Fractional interpolative timing advance and retard control in a transceiver
US8331898B2 (en) 2007-10-03 2012-12-11 Texas Instruments Incorporated Power-saving receiver circuits, systems and processes
US20130047023A1 (en) 2011-08-17 2013-02-21 Broadcom Corporation Adaptive Clocking Scheme to Accommodate Supply Voltage Transients
US8477631B2 (en) 2009-08-25 2013-07-02 Texas Instruments Incorporated Dynamic low power radio modes
US20130283069A1 (en) 2010-12-24 2013-10-24 Fujitsu Limited Storage apparatus, control apparatus and control method

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4882752A (en) * 1986-06-25 1989-11-21 Lindman Richard S Computer security system
US5107208A (en) * 1989-12-19 1992-04-21 North American Philips Corporation System for partitioning and testing submodule circuits of an integrated circuit
JP2530051B2 (en) 1990-07-24 1996-09-04 株式会社東芝 Semiconductor integrated circuit device
US5339445A (en) * 1992-11-16 1994-08-16 Harris Corporation Method of autonomously reducing power consumption in a computer sytem by compiling a history of power consumption
US5502838A (en) * 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
EP0652516A1 (en) * 1993-11-03 1995-05-10 Advanced Micro Devices, Inc. Integrated microprocessor
WO1995031782A1 (en) * 1994-05-12 1995-11-23 Ast Research, Inc. Cpu activity monitoring through cache watching
US5745375A (en) * 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
US5959872A (en) * 1996-10-28 1999-09-28 Samsung Electronics Co., Ltd. Apparatus and method for bidirectional scanning of video coefficients
JP3137034B2 (en) * 1997-06-06 2001-02-19 日本電気株式会社 Address trap comparison circuit for easy failure verification
US6192439B1 (en) * 1998-08-11 2001-02-20 Hewlett-Packard Company PCI-compliant interrupt steering architecture
US6253076B1 (en) * 1999-02-25 2001-06-26 Ericsson Inc. Manufacturing method for wireless communications devices employing potentially different versions of integrated circuits
US6855690B2 (en) 2000-06-01 2005-02-15 Children's Medical Center Corporation Methods and compositions for treating ocular disorders
DE10034262C1 (en) * 2000-07-14 2001-09-20 Infineon Technologies Ag Semiconducting device, especially for motor vehicle, has temperature regulation, and control unit causes integrated circuit to perform dummy working cycles if temperature below threshold
US20020087904A1 (en) * 2000-12-28 2002-07-04 Zhong-Ning (George) Cai Method and apparatus for thermal sensitivity based dynamic power control
US6771087B1 (en) * 2001-06-04 2004-08-03 Advanced Micro Devices, Inc. System and method for testing integrated circuit modules
GB0119629D0 (en) * 2001-08-10 2001-10-03 Cryptomathic As Data certification method and apparatus
US7145903B2 (en) * 2001-09-06 2006-12-05 Meshnetworks, Inc. Multi-master bus architecture for system-on-chip designs
US8286046B2 (en) * 2001-09-28 2012-10-09 Rambus Inc. Integrated circuit testing module including signal shaping interface
US7313740B2 (en) * 2002-07-25 2007-12-25 Inapac Technology, Inc. Internally generating patterns for testing in an integrated circuit device
US7265570B2 (en) * 2001-09-28 2007-09-04 Inapac Technology, Inc. Integrated circuit testing module
US6804632B2 (en) * 2001-12-06 2004-10-12 Intel Corporation Distribution of processing activity across processing hardware based on power consumption considerations
US6883102B2 (en) * 2001-12-18 2005-04-19 Arm Limited Apparatus and method for performing power management functions
US6877057B2 (en) * 2002-01-25 2005-04-05 Dell Products L.P. Information handling system with dynamic interrupt allocation apparatus and methodology
US7123155B2 (en) * 2002-04-05 2006-10-17 Hewlett-Packard Development Company, L.P. Operational mode-based battery monitoring for a battery-powered electronic device
JP2004021574A (en) * 2002-06-17 2004-01-22 Hitachi Ltd Semiconductor device
US7486894B2 (en) * 2002-06-25 2009-02-03 Finisar Corporation Transceiver module and integrated circuit with dual eye openers
US7561855B2 (en) * 2002-06-25 2009-07-14 Finisar Corporation Transceiver module and integrated circuit with clock and data recovery clock diplexing
US6908227B2 (en) * 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US7149862B2 (en) * 2002-11-18 2006-12-12 Arm Limited Access control in a data processing apparatus
US7309999B2 (en) * 2002-11-27 2007-12-18 Inapac Technology, Inc. Electronic device having an interface supported testing mode
US7305253B2 (en) 2002-12-19 2007-12-04 Sony Ericsson Mobile Communications Ab Combination audio/charger jack
US6941232B2 (en) * 2003-01-28 2005-09-06 Texas Instruments Incorporated Method and apparatus for performing multi-site integrated circuit device testing
US7565531B2 (en) * 2003-05-13 2009-07-21 Chi-Chian Yu Locking programming interface
US7197652B2 (en) * 2003-12-22 2007-03-27 International Business Machines Corporation Method and system for energy management in a simultaneous multi-threaded (SMT) processing system including per-thread device usage monitoring
US7213172B2 (en) * 2004-03-31 2007-05-01 Intel Corporation Debugging power management
JP3834323B2 (en) * 2004-04-30 2006-10-18 日本電気株式会社 Cache memory and cache control method
US20050257016A1 (en) * 2004-05-17 2005-11-17 Brian Boles Digital signal controller secure memory partitioning
JP3805344B2 (en) * 2004-06-22 2006-08-02 株式会社ソニー・コンピュータエンタテインメント Processor, information processing apparatus and processor control method
US7565562B2 (en) * 2004-09-03 2009-07-21 Intel Corporation Context based power management
WO2006056824A2 (en) * 2004-09-10 2006-06-01 Freescale Semiconductor, Inc. Apparatus and method for controlling voltage and frequency
US7773964B2 (en) * 2004-10-25 2010-08-10 Qualcomm Incorporated Systems, methods and apparatus for determining a radiated performance of a wireless device
US7814485B2 (en) * 2004-12-07 2010-10-12 Intel Corporation System and method for adaptive power management based on processor utilization and cache misses
US7199607B2 (en) 2004-12-22 2007-04-03 Infineon Technologies Ag Pin multiplexing
US7149645B2 (en) * 2004-12-30 2006-12-12 Intel Corporation Method and apparatus for accurate on-die temperature measurement
JP2006236064A (en) * 2005-02-25 2006-09-07 Oki Electric Ind Co Ltd Memory control device and memory system
US7558984B2 (en) * 2005-04-27 2009-07-07 Texas Instruments Incorporated Apparatus and method for test and debug of a processor/core having advanced power management
US7562234B2 (en) * 2005-08-25 2009-07-14 Apple Inc. Methods and apparatuses for dynamic power control
US7925899B2 (en) * 2005-12-29 2011-04-12 Intel Corporation Method, system, and apparatus for runtime power estimation
WO2007090431A1 (en) * 2006-02-09 2007-08-16 Freescale Semiconductor, Inc. Electronic apparatus and method of conserving energy
CN101390067B (en) * 2006-02-28 2012-12-05 英特尔公司 Improvement in the reliability of a multi-core processor
US8656143B2 (en) * 2006-03-13 2014-02-18 Laurence H. Cooke Variable clocked heterogeneous serial array processor
US8502505B2 (en) * 2006-05-30 2013-08-06 Panasonic Corporation Battery driving device, load control method, integrated circuit and load control program
US7987358B1 (en) * 2006-06-09 2011-07-26 Xilinx, Inc. Methods of authenticating a user design in a programmable integrated circuit
US7589435B2 (en) * 2006-08-02 2009-09-15 Cisco Technology, Inc. Reporting power requirements of a powered device
TW200809748A (en) * 2006-08-09 2008-02-16 Ind Tech Res Inst Method for simulating circuit reliability and system thereof
US7887235B2 (en) * 2006-08-30 2011-02-15 Freescale Semiconductor, Inc. Multiple sensor thermal management for electronic devices
US9582060B2 (en) * 2006-08-31 2017-02-28 Advanced Silicon Technologies Llc Battery-powered device with reduced power consumption based on an application profile data
US20080126652A1 (en) * 2006-09-27 2008-05-29 Intel Corporation Managing Interrupts in a Partitioned Platform
US7793119B2 (en) * 2006-12-21 2010-09-07 Texas Instruments Incorporated Adaptive voltage scaling with age compensation
US8412981B2 (en) * 2006-12-29 2013-04-02 Intel Corporation Core sparing on multi-core platforms
JP4623683B2 (en) * 2007-01-16 2011-02-02 パナソニック株式会社 Integrated circuit device, operation control method of integrated circuit device, and manufacturing method of integrated circuit device
US20080222581A1 (en) * 2007-03-09 2008-09-11 Mips Technologies, Inc. Remote Interface for Managing the Design and Configuration of an Integrated Circuit Semiconductor Design
US20080307240A1 (en) * 2007-06-08 2008-12-11 Texas Instruments Incorporated Power management electronic circuits, systems, and methods and processes of manufacture
US20090049314A1 (en) * 2007-08-13 2009-02-19 Ali Taha Method and System for Dynamic Voltage and Frequency Scaling (DVFS)
US7886172B2 (en) * 2007-08-27 2011-02-08 International Business Machines Corporation Method of virtualization and OS-level thermal management and multithreaded processor with virtualization and OS-level thermal management
US7934110B2 (en) * 2007-09-25 2011-04-26 Intel Corporation Dynamically managing thermal levels in a processing system
US8635380B2 (en) * 2007-12-20 2014-01-21 Intel Corporation Method, system and apparatus for handling events for partitions in a socket with sub-socket partitioning
US7971084B2 (en) * 2007-12-28 2011-06-28 Intel Corporation Power management in electronic systems
US8090965B1 (en) * 2008-04-17 2012-01-03 Lsi Corporation System and method for testing memory power management modes in an integrated circuit
EP2283400B1 (en) * 2008-06-05 2017-11-29 Siemens Aktiengesellschaft Method for operating a modular automation device
KR101460665B1 (en) * 2008-07-16 2014-11-12 삼성전자주식회사 SoC DEVICE VERIFICATION MODEL USING MEMORY INTERFACE
US8274903B2 (en) 2008-08-20 2012-09-25 Qualcomm Incorporated Methods and apparatus for switching between a base channel and a 60 GHz channel
US8051467B2 (en) * 2008-08-26 2011-11-01 Atmel Corporation Secure information processing
US9172117B2 (en) * 2008-12-04 2015-10-27 Domingo Enterprises, Llc User-controlled application-based power management
US9189049B2 (en) * 2008-12-24 2015-11-17 Stmicroelectronics International N.V. Power management in a device
US8190931B2 (en) * 2009-04-30 2012-05-29 Texas Instruments Incorporated Power management events profiling
US8775846B2 (en) * 2009-07-10 2014-07-08 Protonex Technology Corporation Portable power manager having one or more device ports for connecting with external power loads
US8538484B2 (en) * 2009-08-14 2013-09-17 Google Inc. Providing a user with feedback regarding power consumption in battery-operated electronic devices
US20110138395A1 (en) * 2009-12-08 2011-06-09 Empire Technology Development Llc Thermal management in multi-core processor
US8887171B2 (en) * 2009-12-28 2014-11-11 Intel Corporation Mechanisms to avoid inefficient core hopping and provide hardware assisted low-power state selection
US9235251B2 (en) * 2010-01-11 2016-01-12 Qualcomm Incorporated Dynamic low power mode implementation for computing devices
WO2011093003A1 (en) 2010-01-29 2011-08-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device
US8595731B2 (en) * 2010-02-02 2013-11-26 International Business Machines Corporation Low overhead dynamic thermal management in many-core cluster architecture
US8549630B2 (en) * 2010-03-05 2013-10-01 The Regents Of The University Of California Trojan-resistant bus architecture and methods
US8489904B2 (en) * 2010-03-25 2013-07-16 International Business Machines Corporation Allocating computing system power levels responsive to service level agreements
US8354875B2 (en) * 2010-03-25 2013-01-15 Qualcomm Incorporated Low voltage temperature sensor and use thereof for autonomous multiprobe measurement device
US20110265090A1 (en) * 2010-04-22 2011-10-27 Moyer William C Multiple core data processor with usage monitoring
TWI423017B (en) * 2010-06-21 2014-01-11 Ind Tech Res Inst Performance scaling device, processor with the same, and method of scaling performance thereof
US20120032894A1 (en) * 2010-08-06 2012-02-09 Nima Parivar Intelligent management for an electronic device
US8370667B2 (en) * 2010-12-22 2013-02-05 Intel Corporation System context saving based on compression/decompression time
US8463970B2 (en) * 2011-01-04 2013-06-11 Qualcomm Incorporated Method and system for managing sleep states of interrupt controllers in a portable computing device
US9374787B2 (en) * 2011-02-10 2016-06-21 Alcatel Lucent Method and apparatus of smart power management for mobile communication terminals using power thresholds
US9043665B2 (en) * 2011-03-09 2015-05-26 Intel Corporation Functional fabric based test wrapper for circuit testing of IP blocks
US8782645B2 (en) * 2011-05-11 2014-07-15 Advanced Micro Devices, Inc. Automatic load balancing for heterogeneous cores
US8667128B2 (en) * 2011-05-17 2014-03-04 Apple Inc. Collecting information regarding electronic aging of products
US8578143B2 (en) * 2011-05-17 2013-11-05 Apple Inc. Modifying operating parameters based on device use
US8671170B2 (en) * 2011-05-17 2014-03-11 Apple Inc. Modifying operating parameters of a device based on aging information
US8583844B2 (en) * 2011-05-31 2013-11-12 Lsi Corporation System and method for optimizing slave transaction ID width based on sparse connection in multilayer multilevel interconnect system-on-chip architecture
US20130007492A1 (en) * 2011-06-30 2013-01-03 Sokol Jr Joseph Timer interrupt latency
US8984311B2 (en) * 2011-12-30 2015-03-17 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including dynamic C0-state cache resizing
US20130257583A1 (en) * 2012-03-29 2013-10-03 Motorola Mobility, Inc. Wireless communication device and method with ultrasonic detection

Patent Citations (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124848A (en) 1977-09-21 1978-11-07 Automation Industries, Inc. Range limited area protection system
US4479216A (en) 1982-12-22 1984-10-23 At&T Bell Laboratories Skew-free clock circuit for integrated circuit chip
US4775997A (en) 1984-09-18 1988-10-04 Metrofone, Inc. System for interfacing a standard telephone set with a radio transceiver
US5526360A (en) 1992-06-29 1996-06-11 Dade International Inc. High speed N-to-1 burst time-multiplexed data transmission system and method
US5590400A (en) 1993-03-30 1996-12-31 Nokia Telecommunications Oy Method of searching for a signalling channel in a radio system
US5550514A (en) 1993-04-28 1996-08-27 Telefonaktiebolaget Lm Ericsson Digital controlled xtal osc
US5873039A (en) 1994-11-28 1999-02-16 Interonics Corporation Cellular telephone-modem interface for data communication
US6658237B1 (en) 1999-03-02 2003-12-02 Skyworks Solutions, Inc. Multi-Band transceiver utilizing direct conversion receiver
US6452364B1 (en) 1999-04-09 2002-09-17 Fujitsu Limited Battery charge control circuit, battery charging device, and battery charge control method
US6194940B1 (en) 1999-09-27 2001-02-27 Lucent Technologies Inc. Automatic clock switching
US6782486B1 (en) 2000-08-11 2004-08-24 Advanced Micro Devices, Inc. Apparatus for stopping and starting a clock in a clock forwarded I/O system depending on the presence of valid data in a receive buffer
US6320438B1 (en) 2000-08-17 2001-11-20 Pericom Semiconductor Corp. Duty-cycle correction driver with dual-filter feedback loop
US6977979B1 (en) 2000-08-31 2005-12-20 Hewlett-Packard Development Company, L.P. Enhanced clock forwarding data recovery
US20020135343A1 (en) 2001-03-22 2002-09-26 Underbrink Paul A. Critical path adaptive power control
US20040098630A1 (en) 2001-06-29 2004-05-20 Masleid Robert P. Cold clock power reduction
US6931562B1 (en) 2001-08-23 2005-08-16 Hewlett-Packard Development Company, L.P. System and method for transferring data from a higher frequency clock domain to a lower frequency clock domain
US6586971B1 (en) 2001-12-18 2003-07-01 Hewlett-Packard Development Company, L.P. Adapting VLSI clocking to short term voltage transients
US7242733B2 (en) * 2002-06-11 2007-07-10 Matsushita Electric Industrial Co., Ltd. Clock recovery circuit
US20040130357A1 (en) 2002-07-26 2004-07-08 Sterling Smith Logic system with adaptive supply voltage control
US6934215B2 (en) 2002-09-04 2005-08-23 Samsung Electronics Co., Ltd. Semiconductor memory device having duty cycle correction circuit and interpolation circuit interpolating clock signal in the semiconductor memory device
US20050017676A1 (en) 2002-09-18 2005-01-27 Fujitsu Limited Portable device and semiconductor device
US8069363B2 (en) 2002-12-19 2011-11-29 Mosaid Technologies Incorporated Double data rate output latch for static RAM device has edge-triggered flip-flop to output DDR signal to synchronize with a second clock signal
US7505541B1 (en) 2003-01-17 2009-03-17 Xilinx, Inc. NRZ/PAM-4/PRML triple mode phase and data detector
US7184511B2 (en) 2003-01-17 2007-02-27 Xilinx, Inc. Method and apparatus for data density-independent phase adjustment in a clock and data recovery system
WO2005008424A2 (en) 2003-07-11 2005-01-27 Finanalytica, Inc. Providing optimization of a financial portfolio using a parametric leptokurtic distribution
US6995621B1 (en) 2003-09-17 2006-02-07 Hewlett-Packard Development Company, L.P. On-chip variable oscillator method and apparatus
WO2005088424A2 (en) 2004-03-10 2005-09-22 Koninklijke Philips Electronics N.V. Calibration of clock generators in system-on-chip integrated circuits
US8095813B2 (en) 2004-03-22 2012-01-10 Integrated Device Technology, Inc Integrated circuit systems having processor-controlled clock signal generators therein that support efficient power management
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
US7129763B1 (en) 2004-11-08 2006-10-31 Western Digital Technologies, Inc. Adjusting power consumption of digital circuitry by generating frequency error representing error in propagation delay
US8175548B2 (en) 2005-03-30 2012-05-08 Freescale Semiconductor, Inc. Method and device for transmitting a sequence of transmission bursts
US7219175B1 (en) 2005-03-31 2007-05-15 Emc Corporation Method and system for improving the latency in a data transmission system
US7813459B2 (en) 2005-10-03 2010-10-12 Spansion Llc Digital data transfer between different clock domains
US7642825B2 (en) * 2005-10-27 2010-01-05 Nec Electronics Corporation DLL circuit and test method thereof
US20070096775A1 (en) 2005-10-31 2007-05-03 Mohamed Elgebaly Adaptive voltage scaling for an electronics device
US20100145895A1 (en) 2005-12-06 2010-06-10 Narendra Siva G Component reliability budgeting system
US20070132523A1 (en) 2005-12-08 2007-06-14 Intel Corporation Leakage oscillator based aging monitor
US7716511B2 (en) 2006-03-08 2010-05-11 Freescale Semiconductor, Inc. Dynamic timing adjustment in a circuit device
US7902654B2 (en) 2006-05-10 2011-03-08 Qualcomm Incorporated System and method of silicon switched power delivery using a package
US20080068100A1 (en) 2006-09-12 2008-03-20 Goodnow Kenneth J Power management architecture and method of modulating oscillator frequency based on voltage supply
US20080122405A1 (en) 2006-11-28 2008-05-29 Uniden Corporation Constant voltage and constant current power source
US8145670B2 (en) 2006-12-27 2012-03-27 Fujitsu Limited Electronic file system, operating device, approval device, and computer program
US20080204158A1 (en) 2007-02-27 2008-08-28 Infineon Technologies Ag Apparatus and method for generating a supply voltage-dependent clock signal
US7761268B2 (en) 2007-05-02 2010-07-20 National Changua University of Education Non-linear transient analysis module and method for phase locked loop
US8175545B2 (en) 2007-05-17 2012-05-08 Broadcom Corporation Communication devices with integrated thermal sensing circuit and methods for use therewith
US20080320292A1 (en) 2007-06-21 2008-12-25 Daren Croxford Self programming slave device controller
US8050375B2 (en) 2007-06-22 2011-11-01 Texas Instruments Incorporated Digital phase locked loop with integer channel mitigation
US8331898B2 (en) 2007-10-03 2012-12-11 Texas Instruments Incorporated Power-saving receiver circuits, systems and processes
US20090116578A1 (en) 2007-11-07 2009-05-07 Roland Sperlich Distortion compensation in a communication system
US8176352B2 (en) 2008-04-16 2012-05-08 Adavanced Micro Devices, Inc. Clock domain data transfer device and methods thereof
US8134411B2 (en) 2008-04-17 2012-03-13 Texas Instruments Incorporated Computation spreading utilizing dithering for spur reduction in a digital phase lock loop
US8306174B2 (en) 2008-07-30 2012-11-06 Texas Instruments Incorporated Fractional interpolative timing advance and retard control in a transceiver
US8183899B2 (en) * 2008-11-11 2012-05-22 Renesas Electronics Corporation Semiconductor integrated circuit and control method for clock signal synchronization
WO2010058294A1 (en) 2008-11-19 2010-05-27 Compagnie Gervais Danone Blood-cholesterol-lowering strain of lactobacillus delbrueckii
US20110199159A1 (en) 2008-11-24 2011-08-18 Freescale Semiconductor ,Inc, Method and apparatus for generating a clock signal
WO2010058249A1 (en) 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Method and apparatus for generating a clock signal
US20100194400A1 (en) 2009-02-02 2010-08-05 Thomas Baumann Circuit Arrangement With A Test Circuit And A Reference Circuit And Corresponding Method
US8129953B2 (en) 2009-08-04 2012-03-06 Broadcom Corporation Power management unit for a wireless device
US8477631B2 (en) 2009-08-25 2013-07-02 Texas Instruments Incorporated Dynamic low power radio modes
US20110140752A1 (en) 2009-12-14 2011-06-16 Qualcomm Incorporated Adaptive Clock Generators, Systems, and Methods
US8198868B2 (en) 2010-01-20 2012-06-12 Broadcom Corporation Power management unit for a wireless device
US20110181315A1 (en) 2010-01-25 2011-07-28 Broadcom Corporation Adaptive Device Aging Monitoring and Compensation
US20110215827A1 (en) 2010-03-03 2011-09-08 Qualcomm Incorporated Method and Apparatus for Testing a Memory Device
US20130283069A1 (en) 2010-12-24 2013-10-24 Fujitsu Limited Storage apparatus, control apparatus and control method
US20130044844A1 (en) 2011-08-17 2013-02-21 Broadcom Corporation Electronics device capable of efficient communication between components with asyncronous clocks
US20130043916A1 (en) 2011-08-17 2013-02-21 Broadcom Corporation Wave Clocking
US20130047023A1 (en) 2011-08-17 2013-02-21 Broadcom Corporation Adaptive Clocking Scheme to Accommodate Supply Voltage Transients
US8810300B2 (en) 2011-08-17 2014-08-19 Broadcom Corporation Wave clocking
US8823447B2 (en) 2011-08-17 2014-09-02 Broadcom Corporation Time-based apparatus and method to mitigate semiconductor aging effects
US8954017B2 (en) 2011-08-17 2015-02-10 Broadcom Corporation Clock signal multiplication to reduce noise coupled onto a transmission communication signal of a communications device

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Ernst, D., et al., "Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation," Proceedings of the 36th International Symposium on Microarchitecture, Institute of Electrical and Electronics Engineers, United States (2003).
Fischer, Tim et al., "A 90nm Variable Frequency Clock System for a Power-Managed Itanium Architecture Processor," IEEE Journal of Solid-State Circuits, vol. 41, No. 1, Jan. 2006, 11 pages.
International Preliminary Report on Patentability directed to related International Patent Application No. PCT/US2012/051451, mailed Feb. 27, 2014; 13 pages.
International Search Report and Written Opinion of the International Searching Authority directed to related International Patent Application No. PCT/US2012/051451, mailed Nov. 22, 2012, from the International Searching Authority, European Patent Office, Netherlands 16 pages.
International Search Report and Written Opinion of the International Searching Authority directed to related International Patent Application No. PCT/US2012/051479, mailed Oct. 26, 2012, from the International Searching Authority, European Patent Office, Netherlands 16 pages.

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10033391B2 (en) 2011-08-17 2018-07-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Adaptive clocking scheme
US10804906B2 (en) 2011-08-17 2020-10-13 Avago Technologies International Sales Pte. Limited Adaptive clocking scheme
US9912322B2 (en) 2013-07-03 2018-03-06 Nvidia Corporation Clock generation circuit that tracks critical path across process, voltage and temperature variation
US10103719B2 (en) 2013-07-22 2018-10-16 Nvidia Corporation Integrated voltage regulator with in-built process, temperature and aging compensation
US10200022B2 (en) 2013-07-22 2019-02-05 Nvidia Corporation Integrated voltage regulator with in-built process, temperature and aging compensation

Also Published As

Publication number Publication date
US8823447B2 (en) 2014-09-02
US8810300B2 (en) 2014-08-19
US20180309455A1 (en) 2018-10-25
WO2013026040A1 (en) 2013-02-21
US20130047166A1 (en) 2013-02-21
US20160226498A1 (en) 2016-08-04
US10033391B2 (en) 2018-07-24
US9312862B2 (en) 2016-04-12
US8650633B2 (en) 2014-02-11
US20130043939A1 (en) 2013-02-21
WO2013026039A2 (en) 2013-02-21
US9160348B2 (en) 2015-10-13
US20130046967A1 (en) 2013-02-21
US9225343B2 (en) 2015-12-29
US8745724B2 (en) 2014-06-03
US20130047000A1 (en) 2013-02-21
US8575993B2 (en) 2013-11-05
WO2013026040A8 (en) 2013-08-08
US20130047250A1 (en) 2013-02-21
WO2013026032A1 (en) 2013-02-21
US8782314B2 (en) 2014-07-15
US20130047272A1 (en) 2013-02-21
US20130043927A1 (en) 2013-02-21
US20130047023A1 (en) 2013-02-21
US20140312947A1 (en) 2014-10-23
US20130044844A1 (en) 2013-02-21
US8856559B2 (en) 2014-10-07
US10804906B2 (en) 2020-10-13
US20130043914A1 (en) 2013-02-21
US8954017B2 (en) 2015-02-10
US20130043916A1 (en) 2013-02-21
US20130046915A1 (en) 2013-02-21
US8744368B2 (en) 2014-06-03
US9209816B2 (en) 2015-12-08
US9407272B2 (en) 2016-08-02
US20130045779A1 (en) 2013-02-21
US20140028344A1 (en) 2014-01-30
US20130047012A1 (en) 2013-02-21
WO2013026039A3 (en) 2014-05-08

Similar Documents

Publication Publication Date Title
US9312863B2 (en) Wave clocking
US7319358B2 (en) Method and apparatus for generating an adaptive power supply voltage
US6424184B1 (en) Frequency-voltage conversion circuit, delay amount judgement circuit, system having frequency-voltage conversion circuit, method of adjusting input/output characteristics of frequency-voltage conversion circuit, and apparatus for automatically adjusting input
US8161431B2 (en) Integrated circuit performance enhancement using on-chip adaptive voltage scaling
US8912778B1 (en) Switching voltage regulator employing current pre-adjust based on power mode
US7514974B2 (en) Method and apparatus for adjusting on-chip delay with power supply control
EP3164780B1 (en) Multi-domain heterogeneous process-voltage-temperature tracking for integrated circuit power reduction
US8884685B1 (en) Adaptive dynamic voltage scaling system and method
US9110643B2 (en) Leakage current reduction in an integrated circuit
KR101392102B1 (en) Compensation techniques for reducing power consumption in digital circuitry
EP3139244B1 (en) Power-control devices
US7979218B2 (en) Test apparatus, test method and computer readable medium
US8258861B2 (en) Systems and methods for minimizing power consumption
US10659014B2 (en) Clock control in semiconductor system
KR20180059559A (en) Droop detection and regulation for processor tiles
KR20070066049A (en) Apparatus and method for controlling supply voltage using hierarchical performance monitors
KR20180026957A (en) Duty correction device and semiconductor device including the same
WO2013078311A1 (en) Frequency scaling of variable speed systems for fast response and power reduction
US11392193B2 (en) Dynamic voltage scaling in hierarchical multi-tier regulator supply
US20090201011A1 (en) Apparatus, circuit and method of monitoring circuit characteristic
US20140159801A1 (en) Performance Adaptive Voltage Scaling with Performance Tracking Sensor
US20200225281A1 (en) Adaptive Voltage Scaling Methods and Systems Therefor
US20170262004A1 (en) Adaptive voltage scaling circuitry
KR20160023185A (en) Apparatus for adjusting internal voltage and system for adjusting internal voltage
US20230280816A1 (en) Adaptive Frequency Control in Integrated Circuits

Legal Events

Date Code Title Description
AS Assignment

Owner name: BROADCOM CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIPPEL, TIM;REEL/FRAME:033260/0309

Effective date: 20111215

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date: 20160201

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date: 20170120

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date: 20170120

AS Assignment

Owner name: BROADCOM CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041712/0001

Effective date: 20170119

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047229/0408

Effective date: 20180509

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE PREVIOUSLY RECORDED ON REEL 047229 FRAME 0408. ASSIGNOR(S) HEREBY CONFIRMS THE THE EFFECTIVE DATE IS 09/05/2018;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047349/0001

Effective date: 20180905

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE PATENT NUMBER 9,385,856 TO 9,385,756 PREVIOUSLY RECORDED AT REEL: 47349 FRAME: 001. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:051144/0648

Effective date: 20180905

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8