US20070089078A1 - Variable Sigma Adjust Methodology For Static Timing - Google Patents

Variable Sigma Adjust Methodology For Static Timing Download PDF

Info

Publication number
US20070089078A1
US20070089078A1 US11/560,500 US56050006A US2007089078A1 US 20070089078 A1 US20070089078 A1 US 20070089078A1 US 56050006 A US56050006 A US 56050006A US 2007089078 A1 US2007089078 A1 US 2007089078A1
Authority
US
United States
Prior art keywords
timing
voltage supply
timing requirements
revised
circuit design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/560,500
Inventor
James Engel
Jerry Hayes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/560,500 priority Critical patent/US20070089078A1/en
Publication of US20070089078A1 publication Critical patent/US20070089078A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3315Design verification, e.g. functional simulation or model checking using static timing analysis [STA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Definitions

  • the invention presents a method of varying the timing of an integrated circuit design by adjusting the voltage supplies to accommodate revised timing requirements.
  • TAT Turn Around Time
  • timing closure for prototype hardware.
  • product yield would be sacrificed for the ability to get prototype hardware to the customer much sooner than would be possible if doing timing closure at the process extremes.
  • customers that are willing to pay a premium to offset product yield loss on production hardware in order to reduce TAT or design for higher performance hardware.
  • a third example is when the manufacturing line deliberately shifts or changes the process extremes as the result of line tailoring.
  • the invention presents a method for changing static timing of an integrated circuit design.
  • the invention first establishes a circuit design having initial timing requirements and an initial voltage supply and also establishes a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes. Then, according to the customer's orders that change the initial timing requirements to revised timing requirements, the invention changes the initial voltage supply to a revised voltage supply to accommodate the revised timing requirements (and ACLV if desired) based on the relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes. This process of changing the initial voltage supply does not alter the circuit design.
  • the invention establishes initial voltage sensitivity curves relating to the relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes in a circuit design for slow and fast process extremes.
  • the invention also establishes an initial voltage supply at which initial process corners appear on the voltage sensitivity curves and establishes revised process corners for which initial timing requirements are to be changed into revised timing requirements.
  • the invention establishes revised voltage sensitivity curves relating to the revised process corners and changes the initial voltage supply to a revised voltage supply to accommodate the revised timing requirements based on where the revised process corners cross the revised voltage sensitivity curves.
  • the initial timing requirements and the revised timing requirements comprise one of two extreme process corners for the circuit design consisting of either the fastest process timing allowed by the circuit design or the slowest process timing allowed by the circuit design.
  • the revised timing requirements are used to determine whether manufactured chips are defective.
  • the invention is useful with circuit designs that are made up of a common transistor design.
  • FIG. 1 is a graph illustrating the voltage sensitivity of both the fast and slow timing models representing the extreme process corners of the manufacturing line;
  • FIG. 2 is a graph illustrating the methodology for extending Delta to the customer's timing environment
  • FIG. 3 is a graph illustrating using voltage to bind the effects of ACLV
  • FIG. 4 is a flow diagram illustrating one aspect of the invention.
  • FIG. 5 is a schematic hardware diagram upon which the invention could operate.
  • the inventive methodology leverages the voltage sensitivity of a technology FET (field effect transistor) model that affects gate delay.
  • FET field effect transistor
  • a relationship between voltage sensitivity to timing and process sensitivity to timing can be established for a given design.
  • a shift in a process corner can be accounted for when using fixed process timing models simply by an appropriate adjustment of the supply voltage (a “process corner,” as used herein, is some measurable parameter, such as size, yield, speed, voltage, etc.).
  • a “process corner,” as used herein, is some measurable parameter, such as size, yield, speed, voltage, etc.
  • the invention uses voltage sensitivity of the FET model to differentiate what percentage of an overall path is gate and what percentage is wire. This allows the invention to separate front end process variations from back end process variations in timing models made up of both gate and wire delay.
  • several other benefits can also be realized from this new inventive methodology, such as accounting for ACLV.
  • LCD linear combination delay
  • LCD adds a percentage of the fast model's performance with a percentage of slow model's performance in order to speed up or slow down a path by the same amount that the path could change in hardware due to ACLV.
  • the disadvantage of using LCD in static timing is that it requires calculation with both the fast and slow models at each process corner.
  • ACLV is account for by simply an adjustment in supply voltage using only the timing model corresponding to the process corner under analysis which reduces the simulation time of static timing by two times.
  • the appropriate amount of ACLV can now be separately applied to gate and wire delay. This tends to reduce the conservatism that results when front end ACLV is applied to back end wire delay, thus making it easier to close timing.
  • FIG. 1 illustrates the voltage sensitivity of both the fast and slow timing models representing the extreme process corners of the manufacturing line.
  • the models in FIG. 1 have no wire delay component. In other words they are made up of only gate delay and are therefore sensitive only to front end process variations.
  • the x-axis of the graph represents relative delay performance, with faster delay towards the left and slower delay towards the right.
  • PSRO process screening ring oscillators
  • the “3” is in reference to a sigma of a guassian distribution representing the manufacturing line. All hardware between ⁇ 3psro and 3psro is shipped. To illustrate the methodology of this disclosure, an example of a customer wanting to move the 3psro process corner to a less restrictive target process corner (Tpsro) in order to improve TAT will be used.
  • Tpsro target process corner
  • FIG. 2 illustrates the methodology for extending Delta to the customer's timing environment.
  • the customer's supply voltage is denoted as “Customer's voltage.”
  • the horizontal line associated with the customer's voltage also intersects the fast and slow model curves, however the increase in supply voltage when going from “PSRO voltage” to “Customer's voltage” caused shifts in performance at both process corners denoted as ⁇ 3cust and 3cust. It is important to note that this shift was caused by a change in voltage, not a change in process.
  • FIG. 2 illustrates the use of a sigma voltage offset to mimic process corner variations.
  • ⁇ 3cust and 3cust represents the same process corners as defined by ⁇ 3psro and 3psro in FIG. 1 . Since the customer expressed a shift in the slow process corner (Tpsro) in reference to ⁇ 3psro and 3psro, the invention needs to calculate an equivalent Tcust relative to ⁇ 3cust and 3cust.
  • Tcust 3cust ⁇ Delta*(3cust ⁇ ( ⁇ 3cust)) Equation 2
  • Adjusting the supply voltage is a quick and easy way for the invention to adjust process corners that describes the range of variability in the manufacturing line from chip to chip, wafer to wafer, and lot to lot.
  • this technique can be applied to across chip line variation (ACLV). It is important to account for ACLV because of its effect on early and late arrival times of a given path.
  • FIG. 3 illustrates the methodology of using voltage to bind the effects of ACLV.
  • the supply voltage corresponding to Taclv is denoted as “SIMGA voltage (Early Path)” and is used in estimating early path delay while the supply voltage corresponding to Tcust referenced as “SIMGA voltage (Late Path)” is used for late path timing. Note that both early and late path timing uses the same slow model, the only difference is the voltage that is used. This technique simulates two times faster than the LCD methodology that requires both early and late paths to be simulated using both fast and slow timing models.
  • FIG. 4 illustrates the inventive method of accommodating for across chip line variation (ACLV) and/or performing static timing analysis of an integrated circuit design in flowchart format. More specifically, as shown in FIG. 4 , the invention first establishes a circuit design having initial timing requirements and an initial voltage supply 400 and also establishes a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes 402 . Then, according to the customer's orders that change the initial timing requirements to revised timing requirements, the invention changes the initial voltage supply to a revised voltage supply 404 to accommodate the revised timing requirements, without performing any manufacturing processing changes.
  • ACLV across chip line variation
  • the process of changing the initial voltage supply 404 changes the initial voltage supply sufficiently to compensate for across chip line variation (ACLV) differently in different areas of the chip depending upon whether the area is involved with early or late path timing. This process of changing the initial voltage supply 404 does not alter the circuit design.
  • ACLV across chip line variation
  • the initial timing requirements and the revised timing requirements comprise one of two extreme process corners for the circuit design consisting of either the fastest process timing allowed by the circuit design or the slowest process timing allowed by the circuit design.
  • the revised timing requirements are used to determine whether manufactured chips are defective.
  • the invention is useful with circuit designs that are made up of a single, common transistor design.
  • FIG. 5 is a representative hardware environment for practicing the present invention, which illustrates a typical hardware configuration of an information handling/computer system in accordance with the subject invention, having at least one processor or central processing unit (CPU) 10 .
  • CPUs 10 are interconnected via system bus 12 to random access memory (RAM) 14 , read-only memory (ROM) 16 , an input/output (I/O) adapter 18 for connecting peripheral devices, such as disk units 11 and tape drives 13 , to bus 12 , user interface adapter 19 for connecting keyboard 15 , mouse 17 , speaker 103 , microphone 104 , and/or other user interface devices such as touch screen device (not shown) to bus 12 , communication adapter 105 for connecting the information handling system to a data processing network, and display adapter 101 for connecting bus 12 to display device 102 .
  • a program storage device readable by the disk or tape units is used to load the instructions which operate the invention also loaded onto the computer system.
  • the inventive methodology leverages the voltage sensitivity of a technology FET (field effect transistor) model that affects gate delay.
  • FET field effect transistor
  • a relationship between voltage sensitivity to timing and process sensitivity to timing can be established.
  • a shift in a process corner can be accounted for when using fixed process timing models simply by an appropriate adjustment of the supply voltage.
  • several other benefits can also be realized from this new inventive methodology, such as compensating for ACLV.

Abstract

The invention presents a method of accommodating for across chip line variation (ACLV) and/or changing static timing of an integrated circuit design. The invention first establishes a circuit design having initial timing requirements and an initial voltage supply and also establishes a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes. Then, according to the customer's orders that change the initial timing requirements to revised timing requirements, the invention changes the initial voltage supply to a revised voltage supply to accommodate the revised timing requirements (and ACLV if desired) based on the relationship between voltage limits and transistor delay. This process of changing the initial voltage supply does not alter the circuit design.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a division of U.S. application Ser. No. 10/710,734 filed Jul. 30, 2004, assigned to the present Assignee, and is fully incorporated herein by reference.
  • BACKGROUND OF INVENTION
  • 1. Field of the Invention
  • The invention presents a method of varying the timing of an integrated circuit design by adjusting the voltage supplies to accommodate revised timing requirements.
  • 2. Description of the Related Art
  • To remain competitive in today's ASIC environment, design centers are becoming increasingly sensitive to Turn Around Time (TAT) that measures the time it takes to go from design concept to production hardware. A decrease in TAT correlates to an increase in design center throughput which typically translates directly to higher revenues. In addition to higher revenues, a reduction in TAT also leads to increased customer satisfaction and business opportunities. Studies have shown that difficulties in static timing closure is often a major contributor to excessive TAT.
  • These difficulties are often the result of using timing models that reflect only the extreme process corners or variations in the manufacturing line. Process extremes are defined by both front end process variations that affect gate delay and back end process variations that affect wire delay. For timing closure, all timing requirements for the design must today be met at both the slow and fast process extremes represented by the timing models. The farther apart these process extremes become, the more difficult and resource consuming it is to meet static timing requirements.
  • The ability to accurately reduce these process extremes from a timing model's perspective would greatly facilitate many situations that do not require timing closure at the process extremes. One example of this is timing closure for prototype hardware. In this situation, product yield would be sacrificed for the ability to get prototype hardware to the customer much sooner than would be possible if doing timing closure at the process extremes. Another example is customers that are willing to pay a premium to offset product yield loss on production hardware in order to reduce TAT or design for higher performance hardware. A third example is when the manufacturing line deliberately shifts or changes the process extremes as the result of line tailoring.
  • Each of these examples would require the timing models to adjust to new process corners, however today that translates to a complete re-characterization of the models, a task that would take months and many resources. This disclosure proposes a new methodology that allows existing fixed process timing models to dynamically adjust to user specified process extremes for both front end and back end variations without the need to re-characterize the models.
  • SUMMARY OF INVENTION
  • The invention presents a method for changing static timing of an integrated circuit design. The invention first establishes a circuit design having initial timing requirements and an initial voltage supply and also establishes a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes. Then, according to the customer's orders that change the initial timing requirements to revised timing requirements, the invention changes the initial voltage supply to a revised voltage supply to accommodate the revised timing requirements (and ACLV if desired) based on the relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes. This process of changing the initial voltage supply does not alter the circuit design.
  • Stated differently, the invention establishes initial voltage sensitivity curves relating to the relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes in a circuit design for slow and fast process extremes. The invention also establishes an initial voltage supply at which initial process corners appear on the voltage sensitivity curves and establishes revised process corners for which initial timing requirements are to be changed into revised timing requirements. Next, the invention establishes revised voltage sensitivity curves relating to the revised process corners and changes the initial voltage supply to a revised voltage supply to accommodate the revised timing requirements based on where the revised process corners cross the revised voltage sensitivity curves.
  • The initial timing requirements and the revised timing requirements comprise one of two extreme process corners for the circuit design consisting of either the fastest process timing allowed by the circuit design or the slowest process timing allowed by the circuit design. The revised timing requirements are used to determine whether manufactured chips are defective. The invention is useful with circuit designs that are made up of a common transistor design.
  • These, and other, aspects and objects of the present invention will be better appreciated and understood when considered in conjunction with the following description and the accompanying drawings. It should be understood, however, that the following description, while indicating preferred embodiments of the present invention and numerous specific details thereof, is given by way of illustration and not of limitation. Many changes and modifications may be made within the scope of the present invention without departing from the spirit thereof, and the invention includes all such modifications.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The invention will be better understood from the following detailed description with reference to the drawings, in which:
  • FIG. 1 is a graph illustrating the voltage sensitivity of both the fast and slow timing models representing the extreme process corners of the manufacturing line;
  • FIG. 2 is a graph illustrating the methodology for extending Delta to the customer's timing environment;
  • FIG. 3 is a graph illustrating using voltage to bind the effects of ACLV;
  • FIG. 4 is a flow diagram illustrating one aspect of the invention; and
  • FIG. 5 is a schematic hardware diagram upon which the invention could operate.
  • DETAILED DESCRIPTION
  • The present invention and the various features and advantageous details thereof are explained more fully with reference to the nonlimiting embodiments that are illustrated in the accompanying drawings and detailed in the following description. It should be noted that the features illustrated in the drawings are not necessarily drawn to scale. Descriptions of well-known components and processing techniques are omitted so as to not unnecessarily obscure the present invention. The examples used herein are intended merely to facilitate an understanding of ways in which the invention may be practiced and to further enable those of skill in the art to practice the invention. Accordingly, the examples should not be construed as limiting the scope of the invention.
  • The inventive methodology leverages the voltage sensitivity of a technology FET (field effect transistor) model that affects gate delay. For gates designed with a common FET type, a relationship between voltage sensitivity to timing and process sensitivity to timing can be established for a given design. Using this relationship, a shift in a process corner can be accounted for when using fixed process timing models simply by an appropriate adjustment of the supply voltage (a “process corner,” as used herein, is some measurable parameter, such as size, yield, speed, voltage, etc.). Since supply voltage only affects gate delay and not wire delay, the invention uses voltage sensitivity of the FET model to differentiate what percentage of an overall path is gate and what percentage is wire. This allows the invention to separate front end process variations from back end process variations in timing models made up of both gate and wire delay. In addition to all the advantages of being able to adjust fixed process timing models to new process corners without the need to regenerate the timing models, several other benefits can also be realized from this new inventive methodology, such as accounting for ACLV.
  • To account for across chip line variations (ACLV) or over chip variation (OCV) that can cause variations in path performance across the chip, the current methodology for bounding these variations in static timing uses linear combination delay (LCD). LCD adds a percentage of the fast model's performance with a percentage of slow model's performance in order to speed up or slow down a path by the same amount that the path could change in hardware due to ACLV. The disadvantage of using LCD in static timing is that it requires calculation with both the fast and slow models at each process corner. With the new methodology, ACLV is account for by simply an adjustment in supply voltage using only the timing model corresponding to the process corner under analysis which reduces the simulation time of static timing by two times. In addition to a reduction in simulation time, the appropriate amount of ACLV can now be separately applied to gate and wire delay. This tends to reduce the conservatism that results when front end ACLV is applied to back end wire delay, thus making it easier to close timing.
  • FIG. 1 illustrates the voltage sensitivity of both the fast and slow timing models representing the extreme process corners of the manufacturing line. The models in FIG. 1 have no wire delay component. In other words they are made up of only gate delay and are therefore sensitive only to front end process variations. The x-axis of the graph represents relative delay performance, with faster delay towards the left and slower delay towards the right.
  • The technique used for determining whether hardware is shipped to the customer (whether it is defective or not) is to use process screening ring oscillators (PSRO) on each chip. PSROs are a measure of the speed or performance of the hardware, and if it falls between the performance predicted by the fast and slow timing models that were used for timing closure, the hardware is shipped to the customer, otherwise it is rejected. The screening is done at a predefined voltage referred to as the PSRO voltage in FIG. 1 that is independent of the customer's actual supply voltage. The intersection of the PSRO voltage line and the fast and slow model curves define the process corners for the technology. The fast process corner is denoted as −3psro above while the slow process corner is denoted as 3psro. The “3” is in reference to a sigma of a guassian distribution representing the manufacturing line. All hardware between −3psro and 3psro is shipped. To illustrate the methodology of this disclosure, an example of a customer wanting to move the 3psro process corner to a less restrictive target process corner (Tpsro) in order to improve TAT will be used.
  • Shifting the slow process corner from 3psro to Tpsro can be expressed in terms of a factional change relative to the range between −3prso and 3psro as,
    Delta=(3psro−Tpsro)/(3psro−(−3psro))  Equation 1
  • With Delta representing the shift of the slow process corner as a factional change, this shift can now be represented at any supply voltage, and in particular at the customer's supply voltage for slow timing analysis. FIG. 2 illustrates the methodology for extending Delta to the customer's timing environment. The customer's supply voltage is denoted as “Customer's voltage.” The horizontal line associated with the customer's voltage also intersects the fast and slow model curves, however the increase in supply voltage when going from “PSRO voltage” to “Customer's voltage” caused shifts in performance at both process corners denoted as −3cust and 3cust. It is important to note that this shift was caused by a change in voltage, not a change in process.
  • FIG. 2 illustrates the use of a sigma voltage offset to mimic process corner variations.
  • Therefore, −3cust and 3cust represents the same process corners as defined by −3psro and 3psro in FIG. 1. Since the customer expressed a shift in the slow process corner (Tpsro) in reference to −3psro and 3psro, the invention needs to calculate an equivalent Tcust relative to −3cust and 3cust. The equation to calculate Tcust is given as,
    Tcust=3cust−Delta*(3cust−(−3cust))  Equation 2
  • Delta was obtained from equation 1. Note that the line for Tcust intersects the horizontal line associated with the customer's voltage and also the voltage sensitivity curve of the slow model. In order to close timing at Tcust, one could use new slow models that are generated specifically for Tcust or one could use the existing slow models at an elevated voltage denoted as “SIMGA voltage” in FIG. 2 that mimics the new process corner.
  • Adjusting the supply voltage is a quick and easy way for the invention to adjust process corners that describes the range of variability in the manufacturing line from chip to chip, wafer to wafer, and lot to lot. However, this technique can be applied to across chip line variation (ACLV). It is important to account for ACLV because of its effect on early and late arrival times of a given path. ALCV can be expressed in terms of delta PSRO values across the chip using a technique similar to equation 1. With delta PSRO expressed as a fraction of the total range as defined by −3psro and 3psro, a Taclv value relative to Tcust can be calculated as,
    Taclv=Tcust−Delta PSRO*(Tcust−(−3cust))  Equation 3
  • FIG. 3 illustrates the methodology of using voltage to bind the effects of ACLV. The supply voltage corresponding to Taclv is denoted as “SIMGA voltage (Early Path)” and is used in estimating early path delay while the supply voltage corresponding to Tcust referenced as “SIMGA voltage (Late Path)” is used for late path timing. Note that both early and late path timing uses the same slow model, the only difference is the voltage that is used. This technique simulates two times faster than the LCD methodology that requires both early and late paths to be simulated using both fast and slow timing models.
  • FIG. 4 illustrates the inventive method of accommodating for across chip line variation (ACLV) and/or performing static timing analysis of an integrated circuit design in flowchart format. More specifically, as shown in FIG. 4, the invention first establishes a circuit design having initial timing requirements and an initial voltage supply 400 and also establishes a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes 402. Then, according to the customer's orders that change the initial timing requirements to revised timing requirements, the invention changes the initial voltage supply to a revised voltage supply 404 to accommodate the revised timing requirements, without performing any manufacturing processing changes. The process of changing the initial voltage supply 404 changes the initial voltage supply sufficiently to compensate for across chip line variation (ACLV) differently in different areas of the chip depending upon whether the area is involved with early or late path timing. This process of changing the initial voltage supply 404 does not alter the circuit design.
  • The initial timing requirements and the revised timing requirements comprise one of two extreme process corners for the circuit design consisting of either the fastest process timing allowed by the circuit design or the slowest process timing allowed by the circuit design. The revised timing requirements are used to determine whether manufactured chips are defective. The invention is useful with circuit designs that are made up of a single, common transistor design.
  • FIG. 5 is a representative hardware environment for practicing the present invention, which illustrates a typical hardware configuration of an information handling/computer system in accordance with the subject invention, having at least one processor or central processing unit (CPU) 10. CPUs 10 are interconnected via system bus 12 to random access memory (RAM) 14, read-only memory (ROM) 16, an input/output (I/O) adapter 18 for connecting peripheral devices, such as disk units 11 and tape drives 13, to bus 12, user interface adapter 19 for connecting keyboard 15, mouse 17, speaker 103, microphone 104, and/or other user interface devices such as touch screen device (not shown) to bus 12, communication adapter 105 for connecting the information handling system to a data processing network, and display adapter 101 for connecting bus 12 to display device 102. A program storage device readable by the disk or tape units, is used to load the instructions which operate the invention also loaded onto the computer system.
  • Thus, as shown above, the inventive methodology leverages the voltage sensitivity of a technology FET (field effect transistor) model that affects gate delay. For gates designed with a common FET type, a relationship between voltage sensitivity to timing and process sensitivity to timing can be established. Using this relationship, a shift in a process corner can be accounted for when using fixed process timing models simply by an appropriate adjustment of the supply voltage. In addition to all the advantages of being able to adjust fixed process timing models to new process corners without the need to regenerate the timing models, several other benefits can also be realized from this new inventive methodology, such as compensating for ACLV.
  • While the invention has been described in terms of preferred embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.

Claims (19)

1. A method of adjusting timing requirements of an integrated circuit design, said method comprising:
establishing a circuit design having initial timing requirements and an initial voltage supply;
establishing a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes;
changing said initial timing requirements to revised timing requirements; and
changing said initial voltage supply to a revised voltage supply to accommodate said revised timing requirements based on said relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes.
2. The method in claim 1, wherein said process of changing said initial voltage supply does not perform any of said manufacturing processing changes.
3. The method in claim 1, wherein said transistors in said circuit design are based on a common transistor design.
4. The method in claim 1, wherein said revised timing requirements are used to determine whether manufactured chips are defective.
5. The method in claim 1, wherein said initial timing requirements and said revised timing requirements comprise one of two extreme process corners for said circuit design consisting of either the fastest process timing allowed by said circuit design or the slowest process timing allowed by said circuit design.
6. The method in claim 1, wherein said process of changing said initial voltage supply includes changing said initial voltage supply sufficiently to compensate for across chip line variation (ACLV).
7. The method in claim 1, wherein said revised timing requirements comprise front end process timing requirements associated with said gate delay.
8. A method of adjusting timing requirements of an integrated circuit design, said method comprising:
establishing a circuit design having initial timing requirements and an initial voltage supply;
establishing a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes;
changing said initial timing requirements to revised timing requirements, wherein said initial timing requirements and said revised timing requirements comprise one of two extreme process corners for said circuit design consisting of either the fastest process timing allowed by said circuit design or the slowest process timing allowed by said circuit design; and
changing said initial voltage supply to a revised voltage supply to accommodate said revised timing requirements based on said relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes.
9. The method in claim 8, wherein said process of changing said initial voltage supply does not perform any of said manufacturing processing changes.
10. The method in claim 8, wherein said transistors in said circuit design are based on a common transistor design.
11. The method in claim 8, wherein said revised timing requirements are used to determine whether manufactured chips are defective.
12. The method in claim 8, wherein said process of changing said initial voltage supply includes changing said initial voltage supply sufficiently to compensate for across chip line variation (ACLV).
13. The method in claim 8, wherein said revised timing requirements comprise front end process timing requirements associated with said gate delay.
14. A program storage device readable by machine, tangibly embodying a program of instructions executable by the machine to perform a method of changing timing requirements of an integrated circuit design, said method comprising:
establishing a circuit design having initial timing requirements and an initial voltage supply;
establishing a relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes;
changing said initial timing requirements to revised timing requirements; and changing said initial voltage supply to a revised voltage supply to accommodate said revised timing requirements based on said relationship between gate timing variations caused by voltage supply changes and gate timing variations caused by manufacturing processing changes.
15. The program storage device in claim 14, wherein said process of changing said initial voltage supply does not perform any of said manufacturing processing changes.
16. The program storage device in claim 14, wherein said transistor in said circuit design are based on a common transistor design.
17. The program storage device in claim 14, wherein said revised timing requirements are used to determine whether manufactured chips are defective.
18. The program storage device in claim 14, wherein said initial timing requirements and said revised timing requirements comprise one of two extreme process corners for said circuit design consisting of either the fastest process timing allowed by said circuit design or the slowest process timing allowed by said circuit design.
19. The program storage device in claim 14, wherein said process of changing said initial voltage supply includes changing said initial voltage supply sufficiently to compensate for across chip line variation (ACLV).
US11/560,500 2004-07-30 2006-11-16 Variable Sigma Adjust Methodology For Static Timing Abandoned US20070089078A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/560,500 US20070089078A1 (en) 2004-07-30 2006-11-16 Variable Sigma Adjust Methodology For Static Timing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,734 US7174523B2 (en) 2004-07-30 2004-07-30 Variable sigma adjust methodology for static timing
US11/560,500 US20070089078A1 (en) 2004-07-30 2006-11-16 Variable Sigma Adjust Methodology For Static Timing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/710,734 Division US7174523B2 (en) 2004-07-30 2004-07-30 Variable sigma adjust methodology for static timing

Publications (1)

Publication Number Publication Date
US20070089078A1 true US20070089078A1 (en) 2007-04-19

Family

ID=35733850

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/710,734 Expired - Fee Related US7174523B2 (en) 2004-07-30 2004-07-30 Variable sigma adjust methodology for static timing
US11/560,500 Abandoned US20070089078A1 (en) 2004-07-30 2006-11-16 Variable Sigma Adjust Methodology For Static Timing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/710,734 Expired - Fee Related US7174523B2 (en) 2004-07-30 2004-07-30 Variable sigma adjust methodology for static timing

Country Status (1)

Country Link
US (2) US7174523B2 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080163148A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US7627839B1 (en) * 2005-11-14 2009-12-01 National Semiconductor Corporation Process corner indicator and estimation circuit
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US20130179852A1 (en) * 2011-07-25 2013-07-11 International Business Machines Corporation Systems and methods for correlated parameters in statistical static timing analysis
US20140132290A1 (en) * 2012-11-13 2014-05-15 International Business Machines Corporation Flexible performance screen ring oscillator within a scan chain
US8966431B2 (en) 2012-11-21 2015-02-24 International Business Machines Corporation Semiconductor timing improvement
US9097765B1 (en) 2014-05-08 2015-08-04 International Business Machines Corporation Performance screen ring oscillator formed from multi-dimensional pairings of scan chains
US9128151B1 (en) 2014-05-08 2015-09-08 International Business Machines Corporation Performance screen ring oscillator formed from paired scan chains
US9519732B1 (en) 2011-11-28 2016-12-13 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing pattern-based design enabled manufacturing of electronic circuit designs
US20190213292A1 (en) * 2018-01-08 2019-07-11 Atlazo, Inc. Semiconductor process and performance sensor
US10416746B2 (en) 2018-01-10 2019-09-17 Atlazo, Inc. Adaptive energy optimal computing
US10571945B2 (en) 2018-02-21 2020-02-25 Atlazo, Inc. Low power regulator circuits, systems and methods regarding the same
US10635130B2 (en) 2018-02-01 2020-04-28 Atlazo, Inc. Process, voltage and temperature tolerant clock generator
US10700604B2 (en) 2018-03-07 2020-06-30 Atlazo, Inc. High performance switch devices and methods for operating the same
US10811968B2 (en) 2018-01-05 2020-10-20 Atlazo, Inc. Power management system including a direct-current to direct-current converter having a plurality of switches
WO2024021854A1 (en) * 2022-07-25 2024-02-01 腾讯科技(深圳)有限公司 Circuit layout processing method and apparatus, device, storage medium and program product

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7484193B2 (en) * 2003-08-28 2009-01-27 Sun Microsystems, Inc. Method and software for predicting the timing delay of a circuit path using two different timing models
US7174523B2 (en) * 2004-07-30 2007-02-06 International Business Machines Corporation Variable sigma adjust methodology for static timing
US7404163B2 (en) * 2006-03-24 2008-07-22 International Business Machines Corporation Static timing slacks analysis and modification
US7826288B2 (en) * 2007-03-09 2010-11-02 International Business Machines Corporation Device threshold calibration through state dependent burn-in
US9155170B2 (en) * 2008-03-20 2015-10-06 Cooper Technologies Company Conductive magnetic coupling system
US7886246B2 (en) * 2008-04-16 2011-02-08 International Business Machines Corporation Methods for identifying failing timing requirements in a digital design
US8707241B2 (en) 2010-07-30 2014-04-22 Synopsys, Inc. Performing scenario reduction using a dominance relation on a set of corners
US8754696B2 (en) 2012-07-26 2014-06-17 International Business Machines Corporation Ring oscillator
US9058034B2 (en) * 2012-08-09 2015-06-16 International Business Machines Corporation Integrated circuit product yield optimization using the results of performance path testing
US8539429B1 (en) * 2012-08-13 2013-09-17 International Business Machines Corporation System yield optimization using the results of integrated circuit chip performance path testing
US9157956B2 (en) 2012-09-13 2015-10-13 Globalfoundries Inc. Adaptive power control using timing canonicals
ES2910015T3 (en) * 2014-10-31 2022-05-11 Siemens Schweiz Ag Method, digital tool, device and system to detect movements of objects and/or living beings within a radio range, in particular in an indoor area
US9984041B2 (en) * 2016-06-30 2018-05-29 International Business Machines Corporation System, method, and recording medium for mirroring matrices for batched cholesky decomposition on a graphic processing unit
KR102402673B1 (en) * 2017-04-28 2022-05-26 삼성전자주식회사 Computer-implemented method and computing system for designing integrated circuit by considering process variations of Back-End-Of-Line
CN117272924A (en) * 2017-04-28 2023-12-22 三星电子株式会社 Method for designing integrated circuit
CN115420456B (en) * 2022-11-07 2023-02-03 中国空气动力研究与发展中心高速空气动力研究所 Annular slit valve characteristic sectional correction method based on different pressure ratio conditions

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4924430A (en) * 1988-01-28 1990-05-08 Teradyne, Inc. Static timing analysis of semiconductor digital circuits
US5508937A (en) * 1993-04-16 1996-04-16 International Business Machines Corporation Incremental timing analysis
US5559715A (en) * 1992-03-11 1996-09-24 Vlsi Technology, Inc. Timing model and characterization system for logic simulation of integrated circuits which takes into account process, temperature and power supply variations
US5636372A (en) * 1994-09-30 1997-06-03 International Business Machines Corporation Network timing analysis method which eliminates timing variations between signals traversing a common circuit path
US5901304A (en) * 1997-03-13 1999-05-04 International Business Machines Corporation Emulating quasi-synchronous DRAM with asynchronous DRAM
US6081130A (en) * 1998-06-19 2000-06-27 International Business Machines Corporation Clock controlled exclusive or circuit
US6182233B1 (en) * 1998-11-20 2001-01-30 International Business Machines Corporation Interlocked pipelined CMOS
US6651230B2 (en) * 2001-12-07 2003-11-18 International Business Machines Corporation Method for reducing design effect of wearout mechanisms on signal skew in integrated circuit design
US6795951B2 (en) * 2001-02-09 2004-09-21 International Business Machines Corporation Method and system for fault-tolerant static timing analysis
US6897674B2 (en) * 2003-06-30 2005-05-24 International Business Machines Corporation Adaptive integrated circuit based on transistor current measurements
US6934200B2 (en) * 2001-03-12 2005-08-23 Indian Institute Of Science Yield and speed enhancement of semiconductor integrated circuits using post fabrication transistor mismatch compensation circuitry
US20050218871A1 (en) * 2003-04-11 2005-10-06 Inyup Kang Dynamic voltage scaling for portable devices
US7000205B2 (en) * 2003-05-29 2006-02-14 International Business Machines Corporation Method, apparatus, and program for block-based static timing analysis with uncertainty
US7069525B2 (en) * 2003-07-18 2006-06-27 International Business Machines Corporation Method and apparatus for determining characteristics of MOS devices
US7080341B2 (en) * 2003-09-09 2006-07-18 Robert Eisenstadt Apparatus and method for integrated circuit power management
US7117466B2 (en) * 2003-09-18 2006-10-03 International Business Machines Corporation System and method for correlated process pessimism removal for static timing analysis
US7174523B2 (en) * 2004-07-30 2007-02-06 International Business Machines Corporation Variable sigma adjust methodology for static timing

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317605B2 (en) * 2004-03-11 2008-01-08 International Business Machines Corporation Method and apparatus for improving performance margin in logic paths

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4924430A (en) * 1988-01-28 1990-05-08 Teradyne, Inc. Static timing analysis of semiconductor digital circuits
US5559715A (en) * 1992-03-11 1996-09-24 Vlsi Technology, Inc. Timing model and characterization system for logic simulation of integrated circuits which takes into account process, temperature and power supply variations
US5508937A (en) * 1993-04-16 1996-04-16 International Business Machines Corporation Incremental timing analysis
US5636372A (en) * 1994-09-30 1997-06-03 International Business Machines Corporation Network timing analysis method which eliminates timing variations between signals traversing a common circuit path
US5901304A (en) * 1997-03-13 1999-05-04 International Business Machines Corporation Emulating quasi-synchronous DRAM with asynchronous DRAM
US6081130A (en) * 1998-06-19 2000-06-27 International Business Machines Corporation Clock controlled exclusive or circuit
US6182233B1 (en) * 1998-11-20 2001-01-30 International Business Machines Corporation Interlocked pipelined CMOS
US6795951B2 (en) * 2001-02-09 2004-09-21 International Business Machines Corporation Method and system for fault-tolerant static timing analysis
US6934200B2 (en) * 2001-03-12 2005-08-23 Indian Institute Of Science Yield and speed enhancement of semiconductor integrated circuits using post fabrication transistor mismatch compensation circuitry
US6651230B2 (en) * 2001-12-07 2003-11-18 International Business Machines Corporation Method for reducing design effect of wearout mechanisms on signal skew in integrated circuit design
US20050218871A1 (en) * 2003-04-11 2005-10-06 Inyup Kang Dynamic voltage scaling for portable devices
US7000205B2 (en) * 2003-05-29 2006-02-14 International Business Machines Corporation Method, apparatus, and program for block-based static timing analysis with uncertainty
US6897674B2 (en) * 2003-06-30 2005-05-24 International Business Machines Corporation Adaptive integrated circuit based on transistor current measurements
US7069525B2 (en) * 2003-07-18 2006-06-27 International Business Machines Corporation Method and apparatus for determining characteristics of MOS devices
US7080341B2 (en) * 2003-09-09 2006-07-18 Robert Eisenstadt Apparatus and method for integrated circuit power management
US7117466B2 (en) * 2003-09-18 2006-10-03 International Business Machines Corporation System and method for correlated process pessimism removal for static timing analysis
US7174523B2 (en) * 2004-07-30 2007-02-06 International Business Machines Corporation Variable sigma adjust methodology for static timing

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7627839B1 (en) * 2005-11-14 2009-12-01 National Semiconductor Corporation Process corner indicator and estimation circuit
US8769453B2 (en) 2006-12-29 2014-07-01 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7721237B2 (en) * 2006-12-29 2010-05-18 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US20080163148A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US8707233B2 (en) 2011-07-25 2014-04-22 International Business Machines Corporation Systems and methods for correlated parameters in statistical static timing analysis
US8832625B2 (en) * 2011-07-25 2014-09-09 International Business Machines Corporation Systems and methods for correlated parameters in statistical static timing analysis
US8856709B2 (en) 2011-07-25 2014-10-07 International Business Machines Corporation Systems and methods for correlated parameters in statistical static timing analysis
US20130179852A1 (en) * 2011-07-25 2013-07-11 International Business Machines Corporation Systems and methods for correlated parameters in statistical static timing analysis
US9519732B1 (en) 2011-11-28 2016-12-13 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing pattern-based design enabled manufacturing of electronic circuit designs
US20140132290A1 (en) * 2012-11-13 2014-05-15 International Business Machines Corporation Flexible performance screen ring oscillator within a scan chain
US9188643B2 (en) * 2012-11-13 2015-11-17 Globalfoundries Inc. Flexible performance screen ring oscillator within a scan chain
US8966431B2 (en) 2012-11-21 2015-02-24 International Business Machines Corporation Semiconductor timing improvement
US9097765B1 (en) 2014-05-08 2015-08-04 International Business Machines Corporation Performance screen ring oscillator formed from multi-dimensional pairings of scan chains
US9128151B1 (en) 2014-05-08 2015-09-08 International Business Machines Corporation Performance screen ring oscillator formed from paired scan chains
US10811968B2 (en) 2018-01-05 2020-10-20 Atlazo, Inc. Power management system including a direct-current to direct-current converter having a plurality of switches
US20190213292A1 (en) * 2018-01-08 2019-07-11 Atlazo, Inc. Semiconductor process and performance sensor
US10614184B2 (en) * 2018-01-08 2020-04-07 Atlazo, Inc. Semiconductor process and performance sensor
US10416746B2 (en) 2018-01-10 2019-09-17 Atlazo, Inc. Adaptive energy optimal computing
US10635130B2 (en) 2018-02-01 2020-04-28 Atlazo, Inc. Process, voltage and temperature tolerant clock generator
US10571945B2 (en) 2018-02-21 2020-02-25 Atlazo, Inc. Low power regulator circuits, systems and methods regarding the same
US10700604B2 (en) 2018-03-07 2020-06-30 Atlazo, Inc. High performance switch devices and methods for operating the same
WO2024021854A1 (en) * 2022-07-25 2024-02-01 腾讯科技(深圳)有限公司 Circuit layout processing method and apparatus, device, storage medium and program product

Also Published As

Publication number Publication date
US7174523B2 (en) 2007-02-06
US20060026544A1 (en) 2006-02-02

Similar Documents

Publication Publication Date Title
US7174523B2 (en) Variable sigma adjust methodology for static timing
US7222319B2 (en) Timing analysis method and apparatus
US8036870B2 (en) Simulation method for efficient characterization of electronic systems under variability effects
US5751593A (en) Accurate delay prediction based on multi-model analysis
US7487475B1 (en) Systems, methods, and apparatus to perform statistical static timing analysis
US6721924B2 (en) Noise and power optimization in high performance circuits
US5790415A (en) Complementary network reduction for load modeling
US7398491B2 (en) Method for fast incremental calculation of an impact of coupled noise on timing
US5787008A (en) Simulation corrected sensitivity
US7669156B2 (en) Method of identifying paths with delays dominated by a particular factor
US8966420B2 (en) Estimating delay deterioration due to device degradation in integrated circuits
US7836418B2 (en) Method and system for achieving power optimization in a hierarchical netlist
US9646122B2 (en) Variable accuracy parameter modeling in statistical timing
WO2008106369A2 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-conrner static timing analysis
US9619609B1 (en) Integrated circuit chip design methods and systems using process window-aware timing analysis
US20090307645A1 (en) Method and system for analyzing cross-talk coupling noise events in block-based statistical static timing
US20120117527A1 (en) Performing statistical timing analysis with non-separable statistical and deterministic variations
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US6718523B2 (en) Reduced pessimism clock gating tests for a timing analysis tool
US8510697B2 (en) System and method for modeling I/O simultaneous switching noise
US7562266B2 (en) Method and device for verifying timing in a semiconductor integrated circuit
US7844932B2 (en) Method to identify timing violations outside of manufacturing specification limits
US8417503B2 (en) System and method for target-based compact modeling
US5903471A (en) Method for optimizing element sizes in a semiconductor device
US20140074422A1 (en) Adaptive power control using timing canonicals

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910